12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 3: Using ModelSim Verilog with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Using Hardware <strong>Models</strong> with ModelSimVerilogTo use hardware models with ModelSim Verilog, follow this procedure. Note thathardware models are supported on ModelSim Verilog v5.4c and up.1. Make sure ModelSim Verilog is set up properly and all required environmentvariables are set, as explained in “Setting Environment Variables” on page 59.2. Open the modelsim.ini file in a text editor, and uncomment the line <strong>for</strong> Resolution,as shown in the following example:Resolution = 100ps3. ModelSim Verilog only supports dynamic linking of PLI libraries. The three waysto specify the required ModelAccess shared library, and the order in which thesimulator looks <strong>for</strong> PLI libraries, is listed below. Choose one of the followingmethods:a. Add the plat<strong>for</strong>m-specific shared library to the Veriuser entry in themodelsim.ini file:SolarisAIXVeriuser = mav.soVeriuser = mav.soHP-UXVeriuser = mav.slb. Add an item in the PLIOBJS environment variable list:% setenv PLIOBJS “mav.ext”c. Use the -pli switch on the simulator invocation line:% vsim -pli mav.extNoteFor steps b and c, fill in the correct extension <strong>for</strong> your plat<strong>for</strong>m.4. Regardless of the option you choose, you must locate the ModelAccess PLI library<strong>for</strong> the simulator using a plat<strong>for</strong>m-specific environment variable or by specifyingthe full path to the library in Step 3. Here are examples <strong>for</strong> setting the environmentvariables which show the full paths to the libraries:68 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!