12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 3: Using ModelSim Verilog with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Using DesignWare Memory <strong>Models</strong> withModelSim VerilogDesignWare Memory <strong>Models</strong> (DWMM) are SWIFT-compatible binary simulationmodels that have an _mx extension (<strong>for</strong> example, model_mx). DWMM models workwith MTI Verilog (ModelSim) using a PLI application called LMTV that is delivered inthe <strong>for</strong>m of a swiftpli_mti shared library in $LMC_HOME/lib/plat<strong>for</strong>m.lib.For in<strong>for</strong>mation on static linking LMTV, see “Static Linking with LMTV” on page 268.To use the prebuilt swiftpli_mti, follow this procedure:To use DWMM models with ModelSim-Verilog, follow these steps:1. Make sure ModelSim Verilog is set up properly and all required environmentvariables are set, as explained in “Setting Environment Variables” on page 59.2. Map your work library as shown in this example:% vlib work% vmap work workThis produces a modelsim.ini file.3. Edit the modelsim.ini file to uncomment the Resolution statement, and set theresolution value to 100ps, as shown in the following example.Resolution = 100psNoteIf you are using VHDL Smart<strong>Models</strong> in your Verilog simulation, you mustalso edit the modelsim.ini file to uncomment the libsm and libswift lines <strong>for</strong>your plat<strong>for</strong>m (see “Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong>” onpage 73).4. If you are using DWMM testbench commands in your design, add the followingline to your Verilog testbench:`include "mempro_pkg.v"For in<strong>for</strong>mation on using the DWMM testbench commands, refer to the DesignWareMemory Model User’s Manual.66 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!