12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 3: Using ModelSim Verilog with <strong>Synopsys</strong> <strong>Models</strong>Example using bus-level wrapper (model.v) with timing:model U1 ( model ports )defparamU1.FlexTimingMode = `FLEX_TIMING_MODE_ON,U1.TimingVersion = “timingversion“,U1.DelayRange = “range“,U1.FlexModelId = “TMS_INST1”;8. Compile your code as shown in the following examples:UNIX% vlog testbench \workdir/examples/verilog/model.v \workdir/examples/verilog/model_fx_mti.v \+incdir+$LMC_HOME/sim/pli/src \+incdir+workdir/src/verilog9. Invoke the simulator as shown in the following examples:HP-UX 32-bit% vsim -pli $LMC_HOME/lib/hp700.lib/swiftpli_mti.sl designAIX% vsim -pli $LMC_HOME/lib/ibmrs.lib/swiftpli_mti.so designSolaris 32-bit% vsim -pli $LMC_HOME/lib/sun4Solaris.lib/swiftpli_mti.so designHP-UX 64-bit% vsim -pli $LMC_HOME/lib/hp64.lib/swiftpli_mti.sl designSPARC64% vsim -pli $LMC_HOME/lib/sparc64.lib/swiftpli_mti.so designLinux% vsim -pli $LMC_HOME/lib/x86_linux.lib/swiftpli_mti.so designNoteFor in<strong>for</strong>mation on LMTV commands that you can use with Flex<strong>Models</strong> onModelSim-Verilog, refer to “LMTV Commands” on page 271.October 6, 2003 <strong>Synopsys</strong>, Inc. 65

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!