12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 3: Using ModelSim Verilog with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Table 9: FlexModel ModelSim Verilog FileFile Name Description Locationmodel_pkg.incVerilog task definitions <strong>for</strong> FlexModel interfacecommands. This file also references theflexmodel_pkg.inc and model_user_pkg.inc files.workdir/src/verilog/model_user_pkg.inc Clock frequency setup and user customizations. workdir/src/verilog/model_fx_mti.vmodel.vmodel_tst.vA SWIFT wrapper that you can use to instantiatethe model.A bus-level wrapper around the SWIFT model.This allows you to use vectored ports <strong>for</strong> themodel in your testbench.A testbench that instantiates the model and showshow to use basic model commands.workdir/examples/verilog/workdir/examples/verilog/workdir/examples/verilog/5. Update the clock frequency supplied in the model_user_pkg.inc file to correspondto the CLK period you want <strong>for</strong> the model. This file is located in:workdir/src/verilog/model_user_pkg.incwhere workdir is your working directory.6. Add the following line to your Verilog testbench to include FlexModel testbenchinterface commands in your design:`include "model_pkg.inc"NoteBe sure to add model_pkg.inc within the module from which you will beissuing FlexModel commands.Because the model_pkg.inc file includes references to flexmodel_pkg.inc andmodel_user_pkg.inc, you don’t need to add flexmodel_pkg.inc ormodel_user_pkg.inc to your testbench.7. Instantiate Flex<strong>Models</strong> in your design, defining the ports and defparams as required(refer to the example testbench supplied with the model). You use the supplied buslevelwrapper (model.v) in the top-level of your design to instantiate the suppliedbit-blasted wrapper (model_fx_mti.v).Example using bus-level wrapper (model.v) without timing:model U1 ( model ports )defparamU1.FlexModelId = “TMS_INST1”;64 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!