12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Contents<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Using Hardware <strong>Models</strong> with QuickSim II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164Setting up Hardware <strong>Models</strong> in QuickSim II . . . . . . . . . . . . . . . . . . . . . . . . . 164Using Hardware <strong>Models</strong> in QuickSim II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166Model Registration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169Registering a Model with lm_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171Modifying a Hardware Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176Simulating with Hardware <strong>Models</strong> in QuickSim II . . . . . . . . . . . . . . . . . . . . . 177lm_model Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185tmg_to_ts Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188Chapter 8Using Scirocco with <strong>Synopsys</strong> <strong>Models</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191Setting Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191Running 32-bit <strong>Models</strong> on 64-bit Plat<strong>for</strong>ms . . . . . . . . . . . . . . . . . . . . . . . . . . 192Using Smart<strong>Models</strong> with Scirocco . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193create_smartmodel_lib Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . 194Using Flex<strong>Models</strong> with Scirocco . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196Using DesignWare Memory <strong>Models</strong> with Scirocco . . . . . . . . . . . . . . . . . . . . . . . 199Using Hardware <strong>Models</strong> with Scirocco . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Scirocco Utilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202VHDL Model Generics with Scirocco . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202Chapter 9Using VERA with <strong>Synopsys</strong> <strong>Models</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Using VERA with Flex<strong>Models</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Using Flex<strong>Models</strong> with the VERA UDF Interface . . . . . . . . . . . . . . . . . . . . . 208Creating a VERA Testbench . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210VERA Testbench Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211Incorporating Flex<strong>Models</strong> in a VERA Testbench . . . . . . . . . . . . . . . . . . . . . . 213Using VERA with Flex<strong>Models</strong> and VCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215Example Vera System Testbenches For Flex<strong>Models</strong> . . . . . . . . . . . . . . . . . . . . 218Using VERA with DesignWare Memory <strong>Models</strong> . . . . . . . . . . . . . . . . . . . . . . . . . 223DesignWare Memory <strong>Models</strong> with VERA . . . . . . . . . . . . . . . . . . . . . . . . . . . 224Adding DesignWare Memory Model Commands to the VERA Testbench . . 230Building the VERA UDF Dynamic Library . . . . . . . . . . . . . . . . . . . . . . . . . . 235Compiling the VERA Source Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242Creating a VERA List File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243Building and Invoking the <strong>Simulator</strong> Executable . . . . . . . . . . . . . . . . . . . . . . 2446 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!