12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 2: Using VCS with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>This step produces a bit-blasted Verilog wrapper file named model_mx.v and abused Verilog wrapper file named model_mx_bus.v. The wrapper files both containan InstanceName parameter along with the following instructions:// Please insert instance name here...// **and uncomment this lineDo not follow these instructions. The InstanceName parameter is not needed <strong>for</strong>instantiating DWMM models (see the next step).4. Instantiate the model_mx_bus model in your design, defining the ports and SWIFTparameters as needed. The wrapper files include default values <strong>for</strong> the requiredSWIFT parameters. You can override these values as needed in your testbench. Fordetails on the required SWIFT parameters and DWMM instantiation examples, see“DesignWare Memory Model SWIFT Parameters” on page 29.HintFor model-specific instantiation examples, see the individual modeldatasheets. You can cut-and-paste the model instantiation right out of themodel datasheet and drop it into your testbench. Be sure to map signalnames in your design to the model’s ports. You can access the correct modeldatasheet <strong>for</strong> the version of the model that you are using with the sl_browsertool ($LMC_HOME/bin/sl_browser).5. Invoke VCS to compile your design, as shown in the following example:% vcs \model_mx_bus.v \testbench.v \-lmc-swift \-P $LMC_HOME/sim/pli/src/slm_pli.tab \$LMC_HOME/lib/plat<strong>for</strong>m.lib/slm_pli.o \+incdir+$LMC_HOME/sim/pli/src54 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!