12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>ContentsUsing Hardware <strong>Models</strong> with NC-Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97NC-Verilog Utilities Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98NC-Verilog Utilities Reference Pages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99$lm_log_test_vectors Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . 99$lm_loop_instance Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100$lm_timing_in<strong>for</strong>mation Command Reference . . . . . . . . . . . . . . . . . . . . . . . . 101$lm_timing_measurements Command Reference . . . . . . . . . . . . . . . . . . . . . . 102$lm_unknowns Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103lmvsg Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105Chapter 6Using NC-VHDL with <strong>Synopsys</strong> <strong>Models</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Setting Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Running 32-bit <strong>Models</strong> on 64-bit Plat<strong>for</strong>ms . . . . . . . . . . . . . . . . . . . . . . . . . . 109Using Smart<strong>Models</strong> with NC-VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109Using Flex<strong>Models</strong> with NC-VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110Using DesignWare Memory <strong>Models</strong> withNC-VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114Using VERA and DesignWare Memory <strong>Models</strong> withNC-VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117Using Hardware <strong>Models</strong> with NC-VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120NC-VHDL Example with TILS299 Hardware Model . . . . . . . . . . . . . . . . . . 120NC-VHDL Utilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121Chapter 7Using QuickSim II with <strong>Synopsys</strong> <strong>Models</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123Setting Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123Running 32-bit <strong>Models</strong> on 64-bit Plat<strong>for</strong>ms . . . . . . . . . . . . . . . . . . . . . . . . . . 124Using Smart<strong>Models</strong> and Flex<strong>Models</strong> with QuickSim II . . . . . . . . . . . . . . . . . . . . 125Installing the QuickSim II SWIFT Interface . . . . . . . . . . . . . . . . . . . . . . . . . . 125Using Smart<strong>Models</strong>/Flex<strong>Models</strong> with QuickSim II . . . . . . . . . . . . . . . . . . . . 127Schematic Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127Logic Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134Custom Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144Using DesignWare Memory <strong>Models</strong> with QuickSim II . . . . . . . . . . . . . . . . . . . . 151Installing the QuickSim II SWIFT Interface <strong>for</strong> DWMM . . . . . . . . . . . . . . . . 151DWMM Schematic Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151DWMM Logic Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158DWMM Custom Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163October 6, 2003 <strong>Synopsys</strong>, Inc. 5

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!