12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Index<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>QQuickSim IIchanging timing 139, 162command interaction 137, 160command line switches 136, 159component interface 167constraint checking 139, 162constraint, switch 136default timing 135, 158DesignWare Memory <strong>Models</strong> with 151installing SWIFT interface 125interactive commands 137, 160managing user trees 125model symbol properties 130, 155simulating logic models 177SmartModel windows with 141SWIFT interface 125-time_scale switch 136, 159timing,switch 136, 159with hardware models 166RReconfigurationmodels, <strong>for</strong> simulation 138, 161REF property 131, 156reg_model command 145, 169, 176Register elementscombining with Smart<strong>Models</strong> 143Registration 176component 145errors, dealing with 172hardware models 169logic models 169, 171models 169Registration tools, reference 185Related documents 11run_flex_examples_in_vcs.pl Script 53SSCFFile property 154Schematic captureadding SmartModel to schematic 127Schematic Editorcreating instances 133, 134, 157Sciroccohardware model utilities 202script <strong>for</strong> hardware models 204VHDL generics 202with custom memory models 292with DesignWare Memory <strong>Models</strong> 199with Flex<strong>Models</strong> 196with hardware models 201with Smart<strong>Models</strong> 193Scriptsrun_flex_examples_in_vcs.pl 53vcs_sg 53vsg 67, 83, 95, 246, 249, 256scsg command 262, 264scsim command 200, 259Selection, timing shell 179Session, ending the simulation 184SFIlinking hardware models 37Shell software conversions with hardwaremodels 174Shell, timingwith hardware models 179SHLIB_PATH environment variable 41,60, 75, 91, 108, 124signal instance command 137, 160, 177,182, 183, 185Simulation session, ending 184Simulationsfault 22reconfiguring models <strong>for</strong> 138, 161single-step 142<strong>Simulator</strong> integrationLeapfrog 296ModelSim 79ModelSim VHDL 294MTI VHDL 79V-System 5.0 79308 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!