12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Index<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong><strong>for</strong>ce value (.FRC) 174hdl.var 92, 94, 96, 257, 289lmtv.o 268mapping, pin 146, 147model.vhd 64, 80, 93model_fx_comp.vhd 80model_fx_sim.vhd 64, 80, 93model_mx.v 67, 95, 96, 249, 256model_mx.vhd 83, 115, 246, 251model_mx_bus.v 54model_mx_bw.vhd 83, 247model_mx_comp.vhd 115, 251model_tst.vhd 64, 80, 93modelsim.ini 80ncshell 112ncsim 114pin_map 146, 147pin_map, example 148state tracking (.TRK) 174synopsys_vss.setup 197technology 169, 174, 185technology, types 174timing 171timing check (.TCK) 174variable declaration (.DCL) 174veriuser.c 268vsystem.ini 80FlexCFile 24flexm_setup 25, 27FlexModelattributes 19examples with VCS 48fault simulation 22FlexModel SWIFT parameters 23FlexModelId 23Flex<strong>Models</strong>dynamic linking with PLI 63, 92example instantiations 109, 113PLI static linking 289using with MTI VHDL 79VHDL instantiation 109, 113with MTI-Verilog 63with NC-VHDL 110with Scirocco 196with VCS 44with VERA 207FlexModelSrc 24FlexTimingMode 23FMI library 110, 296Force command 142Force values file 174GGraphical descriptions 169HHardware model functional descriptionswith QuickSim II 169Hardware modelsdynamic linking with PLI 68, 97functional descriptions with QuickSim II167linking simulators 37linking with SFI 37loop mode 183modifying 176per<strong>for</strong>mance monitoring 180, 184propagation delays 174registering 169, 171registration 169rules <strong>for</strong> determining descriptors 168script <strong>for</strong> Scirocco 204shell timing 179timingmeasurement 182timing checks 174unknown propagation 181variable declarations 174with IKOS Voyager 37with MTI Verilog 68with MTI VHDL 84with NC-Verilog 97with QuickSim II 166, 177with Scirocco 201with Teradyne LASAR 37with VCS 55with VEDA Vulcan 38with ViewLogic Fusion 38304 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!