12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Appendix B: Using Custom Memory <strong>Models</strong>Using Custom Memory <strong>Models</strong> with VERA“Using VERA with DesignWare Memory <strong>Models</strong>” on page 223 describes how to useVERA with standard DWMM models. Custom memory models operate with VERA inmuch the same way as do standard DWMM models, so the in<strong>for</strong>mation in that sectionapplies to custom memory models as well.Custom Memory Model VERA ClassesVERA implements a number of useful features of an object-oriented language. Thecustom DWMM-VERA interface provides a class that contains public method functionsso that a VERA testbench can access custom memory models. This class inherits thebase class LstModel features.LstModel is an abstract or virtual class and cannot be instantiated directly in VERAtestbenches. Only an instance of a MemPro class can be created in a VERA testbench.The commands used to control memory models are public methods of the MemProclass. You can send custom memory models commands from VERA only through aninstance of the MemPro class.October 6, 2003 <strong>Synopsys</strong>, Inc. 299

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!