12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Appendix B: Using Custom Memory <strong>Models</strong>7. After generating a custom memory model, compile the VHDL code <strong>for</strong> the modelinto your work library, as shown in the following example:% vcom -93 -work work mymem.vhd8. Add LIBRARY and USE statements <strong>for</strong> the slm_lib to your testbench code:LIBRARY SLM_LIB;USE SLM_LIB.mempro_pkg.all;This also provides access to DWMM testbench commands.For more in<strong>for</strong>mation on using the DWMM testbench interfaces, refer to the “HDLTestbench Interface” chapter in the DesignWare Memory Model User’s Manual.9. Instantiate your custom memory models in your testbench. Define ports andgenerics as required. For in<strong>for</strong>mation on generics used with custom memorymodels, refer to “Instantiating Custom Memory <strong>Models</strong>” on page 285. Forin<strong>for</strong>mation on message levels and message level constants, refer to “ControllingMessages” on page 283.10. Compile your testbench into your work library as shown in the following example:% vcom -work work testbench.vhd11. Invoke the simulator on your testbench as shown in the following example:% vsim testbenchOctober 6, 2003 <strong>Synopsys</strong>, Inc. 295

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!