12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Appendix B: Using Custom Memory <strong>Models</strong>5. Instantiate your custom memory models in your design. Define ports andparameters as required. For details, see “Instantiating Custom Memory <strong>Models</strong>” onpage 285. For in<strong>for</strong>mation on message levels and message level constants, see“Controlling Messages” on page 283.6. Define the working directory that contains your testbench.% vlib work_dir7. Compile your code as shown in the following examples:% vlog -work work_dir testbench.v Verilog_modules custom_model_files\+incdir+$LMC_HOME/sim/pli/src8. Invoke the simulator as shown in the following examples:❍❍❍HP-UX:% vsim -pli $LMC_HOME/lib/hp700.lib/swiftpli_mti.sl \-c work_dir.testbenchSolaris:% vsim -pli $LMC_HOME/lib/sun4Solaris.lib/swiftpli_mti.so \-c work_dir.testbenchLinux:% vsim -pli $LMC_HOME/lib/x86_linux.lib/swiftpli_mti.so \-c work_dir.testbenchNoteIf you are also using Smart<strong>Models</strong>, Flex<strong>Models</strong>, or DesignWare Memory<strong>Models</strong> in your design, you do not need to load the swiftpl_mti again, sincethe same library is used to enable all three types of models in MTI-Verilog.October 6, 2003 <strong>Synopsys</strong>, Inc. 291

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!