12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Appendix B: Using Custom Memory <strong>Models</strong>BUsing Custom Memory <strong>Models</strong>The DesignWare Memory Model technology software package supports custommemory models. These custom models are produced in Verilog or VHDL, and do notuse the SWIFT interface, but do require simulator-specific PLI/CLI/FLI code that mustbe bound into the supported simulator executable. Table 37 lists the simulators thatsupport custom memory models:Table 37: <strong>Simulator</strong>s That Support Custom Memory <strong>Models</strong>Verilog <strong>Simulator</strong>sVCSNC-VerilogMTI VerilogVHDL <strong>Simulator</strong>sSciroccoMTI VHDLNC-VHDLSee the following sections <strong>for</strong> in<strong>for</strong>mation on using custom memory models:● “Configuring Custom Memory <strong>Models</strong>” on page 282● “Controlling Messages” on page 283● “Instantiating Custom Memory <strong>Models</strong>” on page 285● “Using Custom Memory <strong>Models</strong> with VCS” on page 286● “Using Custom Memory <strong>Models</strong> with NC-Verilog” on page 289● “Using Custom Memory <strong>Models</strong> with MTI Verilog” on page 290● “Using Custom Memory <strong>Models</strong> with Scirocco” on page 292● “Using Custom Memory <strong>Models</strong> with MTI VHDL” on page 294● “Using Custom Memory <strong>Models</strong> with NC-VHDL” on page 296● “Using Custom Memory <strong>Models</strong> with VERA” on page 299October 6, 2003 <strong>Synopsys</strong>, Inc. 281

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!