12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 9: Using VERA with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>12. Invoke the simulator as shown in the following examples:HP-UX:% vsim \+vera_mload=path_to_vera_list_file \-pli $LMC_HOME/lib/hp700.lib/swiftpli_mti.sl designSolaris:% vsim \+vera_mload=path_to_vera_list_file \-pli $LMC_HOME/lib/sun4Solaris.lib/swiftpli_mti.so designLinux:% vsim \+vera_mload=path_to_vera_list_file \-pli $LMC_HOME/lib/x86_linux.lib/swiftpli_mti.so design<strong>Simulator</strong>: NC-VHDL1. Make sure NC-VHDL is set up properly and all required environment variables areset, as explained in “Setting Environment Variables” on page 89.2. Edit your cds.lib file to specify the logical library slm_lib <strong>for</strong> DWMM models:DEFINE slm_lib ./slm_libNoteAlso, make sure your cds.lib and hdl.var files contain the correctSOFTINCLUDE statements <strong>for</strong> running NC-VHDL.3. Create a directory named slm_lib:mkdir slm_lib4. Compile the required <strong>Synopsys</strong> libraries into the slm_lib library:% ncvhdl -w slm_lib $LMC_HOME/sim/ncvhdl/src/slm_hdlc.vhdNoteIgnore the warning: ncvhdl_p: *W,FATSPB (slm_hdlc.vhd,72).% ncvhdl -w slm_lib $LMC_HOME/sim/ncvhdl/src/mempro_pkg.vhd250 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!