12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 9: Using VERA with <strong>Synopsys</strong> <strong>Models</strong>NoteIf you are using VHDL Smart<strong>Models</strong> in your Verilog simulation, you mustalso edit the modelsim.ini file to uncomment the libsm and libswift lines <strong>for</strong>your plat<strong>for</strong>m (see “Using Smart<strong>Models</strong> with ModelSim Verilog” onpage 61).7. If you are using DWMM testbench commands in your design, add the followingline to your Verilog testbench:`include "mempro_pkg.v"For in<strong>for</strong>mation on using the DWMM testbench commands, refer to the DesignWareMemory Model User’s Manual.8. Generate a bit-blasted Verilog wrapper file <strong>for</strong> the model, as shown in the followingexample:% $LMC_HOME/bin/vsg -bit -z model_mxThis step produces a bit-blasted Verilog wrapper file named model_mx.v.9. Generate a bused Verilog wrapper file <strong>for</strong> the model, as shown in the followingexample:% $LMC_HOME/bin/vsg -bit2bus verilog model_mxThis step produces a bused Verilog wrapper file named model_mx_bw.v.10. Instantiate the model_mx_bw model in your design, defining the ports and SWIFTparameters as needed. The wrapper files include default values <strong>for</strong> the requiredSWIFT parameters. You can override these values as needed in your testbench. Fordetails on the required SWIFT parameters and DWMM instantiation examples, see“DesignWare Memory Model SWIFT Parameters” on page 29.HintFor model-specific instantiation examples, see the individual modeldatasheets. You can cut-and-paste the model instantiation right out of themodel datasheet and drop it into your testbench. Be sure to map signalnames in your design to the model’s ports. You can access the correct modeldatasheet <strong>for</strong> the version of the model that you are using with the sl_browsertool ($LMC_HOME/bin/sl_browser).11. Compile your design as shown in the following example:% vlog testbench.v model_mx.v model_mx_bw.v \vera_testbench_shell.v \+incdir+$LMC_HOME/sim/pli/srcOctober 6, 2003 <strong>Synopsys</strong>, Inc. 249

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!