12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 9: Using VERA with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>5. To create the model’s bit-blasted VHDL wrapper, run the MTI sm_entity script, asshown in the following example:% $MTI_HOME/bin/sm_entity -c model_mx > model_mx.vhdThis step generates entity and component declarations <strong>for</strong> the model.NoteIf you want to use more than one DWMM model in your simulation, refer tothe “sm_entity Command Reference” on page 79.6. Edit the generated model_mx.vhd file as follows. In the architecture section, add the“library ieee” line highlighted in the following example:architecture SmartModel of model_mx isattribute FOREIGN : STRING;attribute FOREIGN of SmartModel : architecture is "sm_init$MODEL_TECH/libsm.sl ; model_mx;beginend SmartModel;library ieee; use ieee.std_logic_1164.all; package comp iscomponent model_mxAlso, at the end of the model_mx.vhd file, right after the “end component”statement, add the following highlighted line:end component;end comp;7. Compile the required <strong>Synopsys</strong> libraries into the slm_lib library, as shown in thefollowing examples:% vlib work% vcom -93 -work slm_lib $LMC_HOME/sim/mti/src/slm_hdlc.vhd% vcom -93 -work slm_lib $LMC_HOME/sim/mti/src/mempro_pkg.vhd8. Add slm_lib LIBRARY and USE statements to your testbench:LIBRARY SLM_LIB;USE SLM_LIB.mempro_pkg.all;USE SLM_LIB.comp.all;9. Compile the model’s bit-blasted wrapper file (model_mx.vhd) into the slm_liblibrary, as shown in the following example:% vcom -93 -work slm_lib model_mx.vhd10. Create a bused wrapper <strong>for</strong> the model, as shown in the following example:% $LMC_HOME/bin/vsg -bit2bus vhdl model_mxThis step produces an output file named model_mx_bw.vhd.246 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!