12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 1: Using <strong>Synopsys</strong> <strong>Models</strong> with <strong>Simulator</strong>sUsing Flex<strong>Models</strong> with SWIFT <strong>Simulator</strong>sRegardless of which simulator you are using, you must configure Flex<strong>Models</strong> bydefining the required SWIFT parameters or attributes shown in Table 3 <strong>for</strong> eachFlexModel instance in your design. You configure Flex<strong>Models</strong> when you instantiatethem in your design using these SWIFT parameters. This could take the <strong>for</strong>m of Verilogdefparams, VHDL generics, or symbol properties, depending on the simulator you areusing.Table 3: FlexModel SWIFT ParametersParameter a Data Type DescriptionFlexTimingModeFLEX_TIMING_MODE_OFF(default)FLEX_TIMING_MODE_ONFLEX_TIMING_MODE_CYCLEDisables/enables timing simulation.(For Verilog, prepend a back quote(‘) to the constant.)Note: C-only Command Mode userscan set this parameter to:- “0” <strong>for</strong> timing mode off- “1” <strong>for</strong> timing mode on- “2” <strong>for</strong> cycle-based timingTimingVersion Model timing version The FlexModel timing version. Referto the individual FlexModeldatasheets <strong>for</strong> available timingversions.DelayRange “MIN”, “TYP”, “MAX” (default) If you set FlexTimingMode to on,you can select MIN, TYP, or MAXdelay values with this parameter.FlexModelId “instance_name” A unique name that identifies eachFlexModel instance. This name isalso used by the flex_get_inst_handlecommand to get an integer instancehandle.Note: Used only with _fx modelsFlexModelId_cmd_stream “instance_name” A unique name that identifies eachFlexModel instance or commandstream. This name is also used by theflex_get_inst_handle command toget an integer instance handle. Forin<strong>for</strong>mation on cmd_stream names,refer to the individual FlexModeldatasheets.Note: Used only with _fz models.October 6, 2003 <strong>Synopsys</strong>, Inc. 23

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!