12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 9: Using VERA with <strong>Synopsys</strong> <strong>Models</strong>modelsim.ini; \fiecho "slm_lib = ./slm_lib/" >> modelsim.ini; \echo "std = \$$MODEL_TECH/../std" >> modelsim.ini; \echo "ieee = \$$MODEL_TECH/../ieee" >> modelsim.ini; \echo "" >> modelsim.ini; \echo "[vsim]" >> modelsim.ini; \echo "PathSeparator = /" >> modelsim.ini; \echo "" >> modelsim.ini; \echo "[lmc]" >> modelsim.ini; \echo "libswift = \$$LMC_HOME/lib/sun4Solaris.lib/libswift.so" >># clean everything out.very_clean : clean@rm -rf $(OUT_DIR) src examples# clean the simulation related filesclean: vera_clean@rm -rf ./vera.ini ./sim.cmd slm_lib# clean just the vera compilation ("make compile_vera; make run" to rerun)vera_clean :@rm -rf *shell* $(OUT_DIR)/$(VERA_OBJ) transcriptUsing VERA with DesignWare Memory<strong>Models</strong>This section explains how to use VERA with DesignWare Memory <strong>Models</strong> (DWMM).Standard DWMM models are binary simulation models, and have an _mx extension inthe model name: model_mx.This section contains the following topics:● “DesignWare Memory <strong>Models</strong> with VERA” on page 224●“Adding DesignWare Memory Model Commands to the VERA Testbench” onpage 230● “Building the VERA UDF Dynamic Library” on page 235● “Compiling the VERA Source Files” on page 242● “Building and Invoking the <strong>Simulator</strong> Executable” on page 244October 6, 2003 <strong>Synopsys</strong>, Inc. 223

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!