12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 8: Using Scirocco with <strong>Synopsys</strong> <strong>Models</strong>Using DesignWare Memory <strong>Models</strong> withSciroccoDesignWare Memory <strong>Models</strong> (DWMM) are SWIFT-compatible binary simulationmodels that have an _mx extension (<strong>for</strong> example, model_mx). To use DWMM modelswith Scirocco, follow these steps:1. Make sure Scirocco is set up properly and all required environment variables areset, as explained in “Setting Environment Variables” on page 191.2. Create slm_lib and work directories, as shown in the following examples:% mkdir ./slm_lib% mkdir ./work3. Create the logical to physical mapping <strong>for</strong> the slm_lib, work, and default libraries bymodifying your local synopsys_sim.setup file to include the following lines:WORK > DEFAULTDEFAULT : ./workSLM_LIB : ./slm_lib4. Set your simulation timebase by modifying your local synopsys_sim.setup file toinclude a TIMEBASE entry, as shown in the following example:TIMEBASE = PS5. Compile the required <strong>Synopsys</strong> libraries into the slm_lib library, as shown in thefollowing example:% vhdlan -w slm_lib $LMC_HOME/sim/vhpi/src/slm_hdlc.vhd% vhdlan -w slm_lib $LMC_HOME/sim/vhpi/src/mempro_pkg.vhdCautionDo not use the Scirocco -noevent switch when compiling the slm_hdlc.vhdpackage. This can cause the package to compile incorrectly.6. Add slm_lib LIBRARY and USE statements to your testbench:LIBRARY SLM_LIB;USE SLM_LIB.components.all;USE SLM_LIB.mempro_pkg.all;7. Create the model’s bused wrapper as shown in the following example:% $SYNOPSYS_SIM/plat<strong>for</strong>m/sim/bin/create_smartmodel_lib -create \-srcdir . -model model_mxThis step produces a components.vhd file and an entities.vhd file. The model_mxmodel is defined in the entities.vhd file.October 6, 2003 <strong>Synopsys</strong>, Inc. 199

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!