12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 1: Using <strong>Synopsys</strong> <strong>Models</strong> with <strong>Simulator</strong>sSmartModel SWIFT ParametersSmartModel attributes or parameters are model-specific values needed by the simulatorto configure a model. You configure Smart<strong>Models</strong> when you instantiate them in yourdesign using these SWIFT parameters. This could take the <strong>for</strong>m of Verilog defparams,VHDL generics, or symbol properties, depending on the simulator you are using. Fordetails, refer to the documentation <strong>for</strong> your simulator.Table 2 lists the SmartModel configuration parameters. All Smart<strong>Models</strong> require anInstanceName, TimingVersion, and DelayRange. In addition, some Smart<strong>Models</strong> need aMemoryFile or PCLFile attribute. Flex<strong>Models</strong> use a slightly different set of attributes<strong>for</strong> configuration, described in “FlexModel SWIFT Parameters” on page 23.Table 2: SmartModel SWIFT ParametersParameter Name Used By DescriptionInstanceName All Smart<strong>Models</strong> Specifies an instance name<strong>for</strong> a particular instance of aSmartModel. Used inmessages to indicate whichinstance is issuing themessage; also used in userdefinedtiming. Can be setby the simulator from thehierarchical name in theHDL description; or can beset using the InstanceNameproperty on the symbol.TimingVersion All Smart<strong>Models</strong> Specifies the timing versiona SmartModel instanceshould use when schedulingchanges on its outputs orchecking setup and holdtimes on its inputs.DelayRange All Smart<strong>Models</strong> Specifies a propagationdelay range <strong>for</strong> a particularinstance of a SmartModel.The allowed values are“min”. “typ”, and “max.”MemoryFileSmart<strong>Models</strong> with internalmemory such as RAMs,ROMs, and processorsand controllers that haveon-chip RAM or ROM.Specifies a memory imagefile (MIF) to load <strong>for</strong> aparticular instance of aSmartModel.October 6, 2003 <strong>Synopsys</strong>, Inc. 19

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!