12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>PrefaceAppendix AUsing LMTV with <strong>Synopsys</strong><strong>Models</strong>Appendix BUsing Custom Memory <strong>Models</strong>Reference in<strong>for</strong>mation <strong>for</strong> LMTV commands usedwith Smart<strong>Models</strong>, Flex<strong>Models</strong>, and DesignWareMemory <strong>Models</strong> on NC-Verilog, and ModelSimVerilog. Also includes static linking procedures <strong>for</strong>LMTV.How to create and use custom memory models.October 6, 2003 <strong>Synopsys</strong>, Inc. 13

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!