12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 6: Using NC-VHDL with <strong>Synopsys</strong> <strong>Models</strong>NoteMake sure there is only one fmiLibraryTable among all the objectscombined into the libfmi.so file. You can use the UNIX nm command (andC shell) to do this:<strong>for</strong>each obj ( )nm ${obj} | grep fmiLibraryTableendIf fmiLibraryTable is defined more than once, the libfmi.so will probably beunusable.5. Use NC-VHDL to compile and elaborate your design:% ncvhdl testbench.vhd% ncelab testbench_configuration6. Set the LMC_TIMEUNIT environment variable to -12 <strong>for</strong> 1 ps resolution:% setenv LMC_TIMEUNIT -12This sets a global timing resolution <strong>for</strong> all SWIFT models in your simulation. Formore in<strong>for</strong>mation on the LMC_TIMEUNIT environment variable, refer to theCadence documentation <strong>for</strong> NC-VHDL.7. Set your LD_LIBRARY_PATH (Solaris) or SHLIB_PATH (HP-UX) environmentvariable so the working directory where you created the new libfmi.(so|sl) is first onthe library directory path list.% setenv LD_LIBRARY_PATH ":${LD_LIBRARY_PATH}"8. Invoke NC-VHDL to simulate your design:% ncsim testbench_configurationFor NC-VHDL Version 4 or HigherFollow these steps to use NC-VHDL (version 4 or higher) with VERA and DWMMmodels.1. Set the dynamic library search path to look first in the VERA library directory, andthen in the LMC_HOME solaris library:% setenv LD_LIBRARY_PATH"${VERA_HOME}/lib/nc_vhdl:${LMC_HOME}lib/sun4Solaris.lib:${LD_LIBRARY_PATH}"2. Use NC-VHDL to compile and elaborate your design:% ncvhdl testbench.vhd% ncelab testbench_configurationOctober 6, 2003 <strong>Synopsys</strong>, Inc. 119

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!