12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 6: Using NC-VHDL with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>6. Compile the other VHDL files into the work library, as shown in the followingexample:% ncvhdl -w work testbench.vhd7. Elaborate your design as shown in the following example:% ncelab cfgtest8. Set the LMC_TIMEUNIT environment variable to -12 <strong>for</strong> 1 ps resolution, as shownin the following example:% setenv LMC_TIMEUNIT -12This sets a global timing resolution <strong>for</strong> all SWIFT models in your simulation. Formore in<strong>for</strong>mation on the LMC_TIMEUNIT environment variable, refer to theCadence documentation <strong>for</strong> NC-VHDL.9. Invoke the NC-VHDL simulator on your design as shown in the following example:% ncsim designUsing Flex<strong>Models</strong> with NC-VHDLTo use Flex<strong>Models</strong> with NC-VHDL, follow this procedures:1. Make sure NC-VHDL is set up properly and all required environment variables areset, as explained in “Setting Environment Variables” on page 107.2. If you have built your own Foreign Model Interface (FMI) shared library or youhave another third party FMI, per<strong>for</strong>m this step.AttentionIf you do not build your own FMI library, skip to Step 3.NC-VHDL binds in only one shared FMI library at runtime. If your design usesFMI, you need to build a new FMI shared library that contains your library and theFlexModel library. A FlexModel archive library can be found at:HP-UX 32 and 64 bits:$LMC_HOME/lib/hp700.lib/libfmi_ar.a$LMC_HOME/lib/hp64.lib/libfmi_ar.aSolaris 32 and 64 bits:$LMC_HOME/lib/sun4Solaris.lib/libfmi_ar.a$LMC_HOME/lib/sparc64.lib/libfmi_ar.a110 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!