12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 6: Using NC-VHDL with <strong>Synopsys</strong> <strong>Models</strong>Running 32-bit <strong>Models</strong> on 64-bit Plat<strong>for</strong>msIf you want to run 32-bit <strong>Synopsys</strong> models or tools on a 64-bit HP-UX or Solarisplat<strong>for</strong>m, set the LMC_USE_32BIT environment variable to any value be<strong>for</strong>e invokingthe tool, as shown in the following example:% setenv LMC_USE_32BIT 1The LMC_USE_32BIT environmental variable is a “set/no-set” variable. That is, youcan set it to any value (even zero) to turn on 32-bit support on a 64-bit plat<strong>for</strong>m. If youdo not set it at all, the default condition is 32-bit models on 32-bit plat<strong>for</strong>ms, or 64-bitmodels on 64-bit plat<strong>for</strong>ms. You only set this environmental variable (to any value)when you are on a 64-bit plat<strong>for</strong>m using 32-bit models and simulators.Using Smart<strong>Models</strong> with NC-VHDLTo use Smart<strong>Models</strong> with NC-VHDL, follow this procedure:1. Make sure NC-VHDL is set up properly and all required environment variables areset, as explained in “Setting Environment Variables” on page 107.2. Add the following line to your cds.lib file to specify the logical library sm_library<strong>for</strong> Smart<strong>Models</strong>, as shown in the following example:DEFINE sm_library ./sm_library3. Run the ncshell utility to generate a wrapper <strong>for</strong> the model that you want to use, asshown in the following example:% ncshell -import swift -into vhdl model -nocompile -work sm_libraryThis step produces a wrapper file (model.vhd) and a component declaration(model_comp.vhd) <strong>for</strong> the specified model in the sm_library work directory.If you want to generate wrappers <strong>for</strong> all Smart<strong>Models</strong> in your $LMC_HOME tree,add the -all switch to the ncshell invocation. In this case, ncshell creates one file(shell.vhd) that contains all the model wrappers and another file (component.vhd)that contains the component declarations.4. Add LIBRARY and USE statements to your testbench:library sm_library;use sm_library.component.all;5. Instantiate Smart<strong>Models</strong> in your design using the wrapper files that you generated inStep 3. For in<strong>for</strong>mation on required configuration parameters and instantiationexamples, refer to “Using Smart<strong>Models</strong> with SWIFT <strong>Simulator</strong>s” on page 18.October 6, 2003 <strong>Synopsys</strong>, Inc. 109

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!