12.07.2015 Views

Pdf - Tutorial on High-Level Synthesis - University of Windsor

Pdf - Tutorial on High-Level Synthesis - University of Windsor

Pdf - Tutorial on High-Level Synthesis - University of Windsor

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

1. Barbacci. M.R. Automated Explorati<strong>on</strong> <strong>of</strong> the DesignSpace for Register Transfer (RT) Systems. PhD Thesis,Carnegie-Mell<strong>on</strong> <strong>University</strong>, 1973.2.3.4.5.6.7.8.9.10.11.12.13.14.15.REFERENCES 16.Barbacci. M.R. Instructi<strong>on</strong> Set Processor Specificati<strong>on</strong>s(ISPS): The Notati<strong>on</strong> and its Applicati<strong>on</strong>s. IEEE Transacti<strong>on</strong>s<strong>on</strong> Computers C-30, 1 (January, 1981). 2440. 18.BorrielIo, G. and Katz, R.H. <strong>Synthesis</strong> and Optimizati<strong>on</strong><strong>of</strong> Interface Transducer Logic. Proceedings <strong>of</strong> the internati<strong>on</strong>C<strong>on</strong>ference <strong>on</strong> Computer-Aided Design (Nove.mber 9,1987). 274-277.Brayt<strong>on</strong>, R.K.. Camposano. R., DeMicheli, G.:. Gtten,R.H.J.M., and vanEijndhoven, J. The Yorktown Silic<strong>on</strong>Compiler. In Silic<strong>on</strong> Compilati<strong>on</strong>, D.D. Gajski, Ed.Addis<strong>on</strong>-Wesley, Reading, MA, 1988, pp. 204-311.Brewer, F.D. and Gajski. D.D. Knowledge Based C<strong>on</strong>trolin Micro-Architecture Design. In Proceedings <strong>of</strong> the 24thDesign Automati<strong>on</strong> C<strong>on</strong>ference, ACM and IEEE, June,1987, pp. 203-209.Davids<strong>on</strong>, S., Landskov. D., Shriver, B.D.. and Mallet&P.W. Some experiments in local microcode compacti<strong>on</strong> forhoriz<strong>on</strong>tal machines. IEEE Transacti<strong>on</strong>s <strong>on</strong> Computers C-30. 7 (July, 1981). 460-477.DeMan, H., Rabaey, J., Six, P., and Claesen. L. CathedralII: A Silic<strong>on</strong> Compiler for Digital Signal Processing. IEEEDesign and Test 3, 6 (December, 1986), 13-25. 23.Girczyc, E.F. Automatic Generati<strong>on</strong> <strong>of</strong> MicrosequencedData Paths to Realize ADA Circuit Descripti<strong>on</strong>s. PhDThesis, Carlet<strong>on</strong> <strong>University</strong>, July, 1984. 24.Hafer. L.J. and Parker, A.C. Register-Transfer <strong>Level</strong> DigitalDesign Automati<strong>on</strong>: The Allocati<strong>on</strong> Process. InProceedings <strong>of</strong> the 15th Design Automati<strong>on</strong> C<strong>on</strong>ference,ACM and IEEE, June, 1978, pp. 213-219.Hitchcock, C.Y. and Thomas, D.E. A Method <strong>of</strong>Automatic Data Path <strong>Synthesis</strong>. In Proceedings <strong>of</strong> the 20thDesign Automati<strong>on</strong> C<strong>on</strong>ference, ACM and IEEE, June,1983, pp. 484-489.Johns<strong>on</strong>, S.D. <strong>Synthesis</strong> <strong>of</strong> Digital Designs from Recursi<strong>on</strong>Equati<strong>on</strong>s. PhD Thesis, Indiana <strong>University</strong>, 1984.MIT Press.Knapp, D.. Granacki, J., and Parker, A.C. An Expert <strong>Synthesis</strong>System. In Proceedings <strong>of</strong> the Internati<strong>on</strong>al C<strong>on</strong>ference<strong>on</strong> Computer-aided Design, ACM and IEEE,September, 1984, pp. 419-24. 27.Kowalski, T.J. An Artificial Intelligence Approach to VLSIDesign. Kluwer Academic Publishers, Bost<strong>on</strong>, 1985.Kurdahi, F.J. and Parker, A.C. PLEST: A Program forArea Estimati<strong>on</strong> <strong>of</strong> VLSI Integrated Circuits. In Proceedings<strong>of</strong> the 23rd Design Automati<strong>on</strong> C<strong>on</strong>ference, ACM andIEEE, June, 1986, pp. 467-473.Kurdahi, F.J. and Parker, A.C. REAL: A Program forREgister ALlocati<strong>on</strong>. In Proceedings <strong>of</strong> the 24th DesignAutomati<strong>on</strong> C<strong>on</strong>ference, ACM and IEEE, June, 1987, pp.210-215.17.19.20.21.22.25.26.28.29.McFarland, M.C. The VT: A Database for AutomatedDigital Design. DRC-01-4-80, Design Research Center,Carnegie-Mell<strong>on</strong> <strong>University</strong>, December, 1978.McFarland, M.C. Using Bottom-Up Design Techniques inthe <strong>Synthesis</strong> <strong>of</strong> Digital Hardware from Abstract BehavioralDescripti<strong>on</strong>s. In Proceedings <strong>of</strong> the 23rd Design Automati<strong>on</strong>C<strong>on</strong>ference, IEEE and ACM, June, 1986.McFarland, M.C. and Parker, A.C. An Abstract Model <strong>of</strong>Behavior for Hardware Descripti<strong>on</strong>s. IEEE Transacti<strong>on</strong>s<strong>on</strong> Computers C-32, 7 (July, 1983). 621-36.Nestor, J.A. Specificati<strong>on</strong> 8z <strong>Synthesis</strong> <strong>of</strong> Digital Systemswith Interfaces. CMUCAD-87-10, Department <strong>of</strong> Electricaland Computer Engineering, Carnegie-Mell<strong>on</strong> Uy, April,1987.Park, N. and Parker, AC. Sehwa: A S<strong>of</strong>tware Package for<strong>Synthesis</strong> <strong>of</strong> Pipelines from Behavioral Specificati<strong>on</strong>s.IEEE Transacti<strong>on</strong>s <strong>on</strong> Computer-Aided Design <strong>of</strong> DigitalCircuits and Systems 7, 3 (March, 1988), 356-370.Parker, A.C., Plzarm, J., and Mlinar, M. MAHA: A Pmgramfor Datapath <strong>Synthesis</strong>. In Proceedings <strong>of</strong> the 23rdDesign Automati<strong>on</strong> C<strong>on</strong>ference, ACM and IEEE, June,1986, pp. 461-466.Paulin, P.G. and Knight, J.P. Force-Directed Scheduling inAutomatic Data Path <strong>Synthesis</strong>. in Proceedings <strong>of</strong> the 24thDesign Automati<strong>on</strong> C<strong>on</strong>ference, ACM and IEEE, June,1987, pp. 195-202.Peng, 2. <strong>Synthesis</strong> <strong>of</strong> VLSI Systems with the CAMADDesign Aid. In Proceedings <strong>of</strong> the 23rd Design Automati<strong>on</strong>C<strong>on</strong>ference, IEEE and ACM, June, 1986, pp. 278-284.Rajan, J.V. and Thomas, D.E. <strong>Synthesis</strong> by Delayed Binding<strong>of</strong> Decisi<strong>on</strong>s. In Proceedings <strong>of</strong> the 22nd Design Automati<strong>on</strong>Corgference, ACM and IEEE, June, 1985. pp. 367-73.Rosenstiel, W. and Camposano, R. Synthesizing Circuitsfrom ,Behavioral <strong>Level</strong> Specificati<strong>on</strong>s. In Proceedings <strong>of</strong>the 7th Internati<strong>on</strong>al C<strong>on</strong>ference <strong>on</strong> Computer HardwareDescripti<strong>on</strong> Languages and their Applicati<strong>on</strong>s, C. Koomenand T. Moto-oka, Eds.. North-Holland, August, 1985, pp.391-402.Snow, E.A.. Siewiorek, D.P., and Thomas, D.E. ATechnology-Relative Computer-Aided Design System:Abstract Representati<strong>on</strong>s, Transformati<strong>on</strong>s, and DesignTrade<strong>of</strong>fs. In Proceedings <strong>of</strong> the 15th Design Automati<strong>on</strong>C<strong>on</strong>ference, ACM and IEEE, 1978, pp. 220-226.Trickey, H. Flamel: A <strong>High</strong>-<strong>Level</strong> Hardware Compiler.IEEE Transacti<strong>on</strong>s <strong>on</strong> CAD CAD-6, 2 (March, 1987), 259-269.Tseng, C. and Siewiorek. D.P. Automated <strong>Synthesis</strong> <strong>of</strong>Data Paths in Digital Systems. IEEE Transacti<strong>on</strong>s <strong>on</strong>Computer-Aided Design <strong>of</strong> Integrated Circuits and SystemsCAD-5, 3 (July, 1986). 379-395.Zimmemamm, G. MDS-The Mimola Design Method.Journal <strong>of</strong> Digital Systems 4, 3 (1980), 337-369.Paper 23.1336

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!