An Improved VLSI Test Economics Analysis System - Laboratory for ...

An Improved VLSI Test Economics Analysis System - Laboratory for ... An Improved VLSI Test Economics Analysis System - Laboratory for ...

larc.ee.nthu.edu.tw
from larc.ee.nthu.edu.tw More from this publisher
12.07.2015 Views

predictability. All these keys strongly depend on structure of circuit such as I/Os,sequential depth, internal loop length, etc. We suggest user to estimate its valuefrom similar functional block design cases which had done before, because they usuallyhave similar circuit structure except design methodologies had been changed.Testability impacts defect level, diagnosis, verication and test eort which will bediscussed in later section. In general, we use DFT to increase block testability.Chip Testability (TB): Chip Testability, similar to testability of block, is dened as the easeto transfer test vectors into blocks (controllability) and fetch results (observability)from chip I/Os. Its value depends on the depth of blocks from chip I/Os, andtestability of blocks in chip. Some DFT methodologies also increase chip testability.The impact of DFT in chip and block testability will be estimated in Section 2.8 .Test Vector Length (v w ;v p ;v f ;V w ;V p ;V f ): They are test lengths of block and chip while thechip is in wafer test, production test, and burn-in test stages. We will describe detailin Section 2.6, Quality Model.In the next section, we introduce our economic models.2.3 Introduction to Economic ModelTest MethodologiesCircuit DescriptionQuality ModelsTime ModelsCost ModelsMarket LifeModelsDefect Level EstimationProfit EstimationFigure 2.2: Relation of models.14

The proposed economic models consists of four models: Cost Model, Time Model, MarketLife Model and Quality Model. Besides, we propose a test methodology library to describewhat and how circuit parameters are modied by various Test methodologies. Figure 2.2shows relations among circuit description, test methodologies and our four models. Finalresult is prot estimation, which can be given byProf = R , C; (2.8)where C is total cost which is estimated in Cost Model and R is revenue which comes fromMarket Life Model. Another result is defect level estimation, which is calculated in QualityModel. Complete estimation need two passes to each model. For the rst pass, we targetestimation on the condition of no DFT applied, and more detailed action of each model isdescribed bellow:Quality Model: It estimates test-related parameters, such as yield, fault coverage, defect level,and test length, from area, gate count, testability, etc., which get from circuit description.The defect level is an important pointer of production quality, and otherresults are transfered to time and cost models.Time Model: This model estimates parameters about time. It receives circuit descriptionand Quality Model parameters, than, estimates design and verication time in development,and test time in wafer test, pre-burn-in test, and nal test. Finally, theresults are transfered to cost model.Cost Model: This model collects parameters from circuit description, Time Model, and QualityModel to calculate total costs for prot estimation. Total cost merges three stagecost which are development cost, manufacturing cost, and test cost.Market Life Model: This model uses only its local parameters to estimate revenue, becausewe assume that revenue only comes from market.In the second pass, some circuit parameters and equations in models, such as area, and gatecount, are modied according to the test strategy. All modication rules are listed in testmethodology library. Continue the similar processes of each model as rst pass, except that15

predictability. All these keys strongly depend on structure of circuit such as I/Os,sequential depth, internal loop length, etc. We suggest user to estimate its valuefrom similar functional block design cases which had done be<strong>for</strong>e, because they usuallyhave similar circuit structure except design methodologies had been changed.<strong>Test</strong>ability impacts defect level, diagnosis, verication and test eort which will bediscussed in later section. In general, we use DFT to increase block testability.Chip <strong>Test</strong>ability (TB): Chip <strong>Test</strong>ability, similar to testability of block, is dened as the easeto transfer test vectors into blocks (controllability) and fetch results (observability)from chip I/Os. Its value depends on the depth of blocks from chip I/Os, andtestability of blocks in chip. Some DFT methodologies also increase chip testability.The impact of DFT in chip and block testability will be estimated in Section 2.8 .<strong>Test</strong> Vector Length (v w ;v p ;v f ;V w ;V p ;V f ): They are test lengths of block and chip while thechip is in wafer test, production test, and burn-in test stages. We will describe detailin Section 2.6, Quality Model.In the next section, we introduce our economic models.2.3 Introduction to Economic Model<strong>Test</strong> MethodologiesCircuit DescriptionQuality ModelsTime ModelsCost ModelsMarket LifeModelsDefect Level EstimationProfit EstimationFigure 2.2: Relation of models.14

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!