11.07.2015 Views

1756-RM001B-EN-P, Using ControlLogix in SIL2 ... - Tuv-fs.com

1756-RM001B-EN-P, Using ControlLogix in SIL2 ... - Tuv-fs.com

1756-RM001B-EN-P, Using ControlLogix in SIL2 ... - Tuv-fs.com

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

6-16 <strong>ControlLogix</strong> I/O ModulesWir<strong>in</strong>g <strong>ControlLogix</strong> AnalogInput ModulesIn general, good design practice dictates that each transmitter must bewired to separate <strong>in</strong>put term<strong>in</strong>als on separate modules such that thechannel values may be validated by <strong>com</strong>par<strong>in</strong>g the two with<strong>in</strong> anacceptable range. Special consideration must be given <strong>in</strong> apply<strong>in</strong>g thistechnique, depend<strong>in</strong>g on the type of module be<strong>in</strong>g used. Thosedetails are shown <strong>in</strong> the follow<strong>in</strong>g wir<strong>in</strong>g diagrams.Wir<strong>in</strong>g the S<strong>in</strong>gle-Ended Input Module <strong>in</strong> Voltage ModeIn addition to follow<strong>in</strong>g the General Considerations when us<strong>in</strong>g Any<strong>ControlLogix</strong> Analog Input Module on page 6-13, make sure you usethe correct documentation (listed <strong>in</strong> Table 6.1 on page 6-3) to wire themodule.When operat<strong>in</strong>g <strong>in</strong> S<strong>in</strong>gle-ended voltage mode, all (-) leads of thetransmitters must be tied together. Figure 6.12 shows how to wire the<strong>1756</strong>-IF8 module for use <strong>in</strong> voltage mode.Figure 6.12 <strong>ControlLogix</strong> Analog Input Module Wir<strong>in</strong>g <strong>in</strong> Voltage ModeCh0 +Ch0 +Ch0 – Ch0 –(+)(–)VoltageTransmitter A(+)(–)VoltageTransmitter B43368Publication <strong>1756</strong>-<strong>RM001B</strong>-<strong>EN</strong>-P - October 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!