17.01.2015 Views

Programmable Logic Design Quick Start Handbook

Programmable Logic Design Quick Start Handbook

Programmable Logic Design Quick Start Handbook

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

WEBPACK ISE DESIGN ENTRY<br />

The area still within the architecture – but before the begin statement – is<br />

where declarations reside.<br />

We’ll give some examples of component and signal declarations later in this<br />

chapter.<br />

SAVE THE COUNTER MODULE<br />

You can now simulate the counter module of the design.<br />

With “counter.vhd” highlighted in the Source window, the Process window<br />

will give all the available operations for that particular module.<br />

A VHDL file can be synthesized and then implemented through to a bitstream.<br />

Normally, a design consists of several lower-level modules wired together<br />

by a top-level file. This design currently only has one module that can be simulated.<br />

Functional Simulation<br />

To simulate a VHDL file, you must first create a testbench.<br />

From the Project menu, select “New Source” as before.<br />

Select “Test Bench Waveform” as the source type and give it the name<br />

“counter_tb.”<br />

FIGURE 4-8:<br />

NEW SOURCE WINDOW<br />

Xilinx • 107

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!