13.01.2015 Views

Synopsys PowerPC for developerWorks - IBM

Synopsys PowerPC for developerWorks - IBM

Synopsys PowerPC for developerWorks - IBM

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Synthesizable “Power” –<br />

Using Process Portability to Embed<br />

<strong>PowerPC</strong> Cores in Your Chip<br />

Lonn Fiance<br />

Director, Strategic Alliances<br />

<strong>Synopsys</strong>


Agenda<br />

• <strong>Synopsys</strong> overview<br />

• <strong>PowerPC</strong> embedded core overview<br />

• <strong>Synopsys</strong>’ Synthesizable <strong>PowerPC</strong><br />

405/440 offering<br />

• Questions and answers<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 2;


Global leader in EDA and IP<br />

1400<br />

1200<br />

$1.1B revenue in FY04<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

0<br />

FY96 FY97 FY98 FY99 FY00 FY01 FY02 FY03 FY04<br />

• Strong global presence:<br />

• ~ 4,400 employees, 60+ offices<br />

• Strong technical innovation:<br />

• 1600+ R&D eng. (~26% of rev.)<br />

• Strong customer support:<br />

• 1450+ applications engineers<br />

350<br />

300<br />

250<br />

200<br />

150<br />

100<br />

50<br />

0<br />

$285M on R&D in FY04<br />

FY96 FY97 FY98 FY99 FY00 FY01 FY02 FY03 FY04<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 3;


<strong>Synopsys</strong> in China Since<br />

1995<br />

P<br />

<strong>Synopsys</strong> Offices<br />

National IC Design<br />

Incubation Centers<br />

University Programs<br />

35 locations<br />

200+ R&D Engineers<br />

Partnerships<br />

Chengdu<br />

University of Electronics Science<br />

and Technology of China<br />

Xian Jiaotong<br />

University<br />

Xi’an<br />

P<br />

Datang<br />

Telecom<br />

Wuxi<br />

Hangzhou<br />

Zhejiang University<br />

Chinese<br />

Academy<br />

of Sciences<br />

P<br />

SMIC<br />

Harrbin University<br />

Beijing<br />

Tsinghua University<br />

Beijing Polytechnical University<br />

Northern JiaoTong University<br />

Peking University<br />

Beijing College of Aeronautics<br />

P<br />

Shanghai<br />

Shanghai Jiao Tong University<br />

Tongji University<br />

Fudan University<br />

Shenzhen<br />

HKUST, HKUC, SCUST<br />

Hong Kong<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 4;


Most Trusted in EDA Industry<br />

Attribute in selecting vendor<br />

Best after-sales support<br />

Offers competitive prices<br />

Technology leader today<br />

Technology leader in 3 years<br />

Best support of open standards<br />

Best integration w/other tools<br />

Best integration with foundries & IP suppliers<br />

Clear vision of future<br />

Most ethical company<br />

Best documentation<br />

Knowledgeable sales reps<br />

Well-managed company<br />

Best training services<br />

Best be<strong>for</strong>e-sales system support<br />

Best Web site<br />

Offers consulting design services<br />

SNPS Rank<br />

1<br />

3<br />

1<br />

1<br />

2<br />

2<br />

1<br />

1<br />

1<br />

1<br />

1<br />

1<br />

1<br />

2<br />

1<br />

2<br />

7%<br />

7%<br />

12%<br />

Importance<br />

24%<br />

23%<br />

23%<br />

28%<br />

37%<br />

36%<br />

35%<br />

33%<br />

32%<br />

46%<br />

39%<br />

61%<br />

59%<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 5;<br />

Source: 2004 EE Times Reader EDA Survey


Need Convergent Optimization Solution<br />

QOR<br />

TTR<br />

Area<br />

Signal Integrity<br />

Signal Integrity<br />

Power<br />

Test<br />

Yield<br />

COR<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 6;


Complete, Correlated And Concurrent<br />

IP Reuse<br />

Design<br />

Verification<br />

Flows<br />

DFM<br />

& Services<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 7;


Industry Leading Alliances<br />

Foundries<br />

ASIC Vendors<br />

PLD Vendors<br />

IP Vendors<br />

Library Vendors<br />

<strong>Synopsys</strong> strategic<br />

partnerships assure our<br />

customers meet their<br />

per<strong>for</strong>mance, cost, and<br />

schedule goals.<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 8;


Power Architecture Application Areas<br />

970<br />

970<br />

970<br />

750<br />

WAN<br />

Switch/Router<br />

750<br />

Server<br />

970<br />

750<br />

440<br />

Workstations<br />

750<br />

440<br />

440 Games<br />

440<br />

3G Access / IP<br />

Gateway<br />

GPS, In-cabin,<br />

& Telematics<br />

PDA<br />

Set-Top Box<br />

Wireless LAN<br />

405<br />

Networking<br />

Networked<br />

Storage<br />

IT/Storage<br />

440<br />

405<br />

Personal<br />

Communicator 405<br />

Digital<br />

405<br />

Imaging<br />

In Auto In Hand In Home<br />

Pervasive Computing<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 9;


<strong>IBM</strong> <strong>PowerPC</strong> ® Licensable Cores<br />

Key Features<br />

•32-bit <strong>PowerPC</strong> Book E<br />

•Superscalar, 2 inst/cycle<br />

•MMU, 1KB-256MB pages<br />

•128-bit PLB, 64GB addr<br />

<strong>PowerPC</strong> 440<br />

1.5mW/MHz<br />

2.5mW/MHz<br />

440 440<br />

32K/32K<br />

0.18um<br />

15.5mm 2<br />

32K/32K<br />

130nm<br />

9.8mm 2<br />

440-S<br />

32K/32K<br />

Fully<br />

Synthesizable<br />

<strong>PowerPC</strong> 405<br />

1.9mW/MHz<br />

16K/16K<br />

0.18um<br />

8.0mm 2<br />

0.9mW/MHz<br />

405 405 405-S<br />

16K/16K<br />

130nm<br />

3.8mm 2<br />

16K/16K<br />

Fully<br />

Synthesizable<br />

Key Features<br />

•32-bit <strong>PowerPC</strong> Embedded<br />

•Scalar, 1 inst/cycle<br />

•MMU, 1KB-16MB pages<br />

•64-bit PLB, 4GB addr<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 10;


<strong>PowerPC</strong> 405 Features<br />

Architecture<br />

Special<br />

Features<br />

CPU<br />

Pipeline<br />

Caches<br />

MMU<br />

Branch<br />

Pred.<br />

Physical<br />

Address<br />

Debug<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 11;<br />

32-bit <strong>PowerPC</strong> Embedded<br />

Application code compatible with all <strong>PowerPC</strong><br />

microprocessors<br />

Hardware multiply / divide<br />

24 DSP instructions (16x16+32)<br />

32-bit x 32 general purpose registers<br />

5 Stage Pipeline<br />

Single instruction per cycle<br />

16KB, 2-way set associative, 32-byte line, no<br />

locking, parity<br />

64 entry UTLB – full associativity<br />

4 entry ITLB, 8 entry DTLB<br />

5 cycle miss penalty<br />

Variable pages – 1KB to 16MB<br />

Static<br />

32-bit (4GB physical address)<br />

64- or 128-bit interface to Processor Local Bus<br />

(PLB)<br />

On-chip memory supported via PLB<br />

JTAG and Trace FIFO ports<br />

Real-time, non-invasive trace supported<br />

64b Processor Local Bus<br />

64b Processor Local Bus<br />

16K<br />

16K<br />

I-cache<br />

I-cache<br />

I-cache<br />

I-cache<br />

control<br />

control<br />

Instruction<br />

Instruction<br />

Unit<br />

Unit<br />

Execution<br />

Execution<br />

Unit<br />

Unit<br />

MAC<br />

MAC<br />

MMU<br />

MMU<br />

Branch<br />

Branch<br />

Unit<br />

Unit<br />

GPRs<br />

GPRs<br />

16K<br />

16K<br />

D-cache<br />

D-cache<br />

D-cache<br />

D-cache<br />

control<br />

control<br />

Timers Power Management<br />

Timers Power Management<br />

Debug / Trace Interrupts<br />

Debug / Trace Interrupts


<strong>PowerPC</strong> 440 Features<br />

Architecture<br />

CPU Pipeline<br />

L1 Caches<br />

MMU<br />

Branch Pred.<br />

Physical Addr<br />

Core Interfaces<br />

Debug<br />

32-bit <strong>PowerPC</strong> Book E<br />

Application code compatible with all<br />

<strong>PowerPC</strong> microprocessors<br />

Two-way superscalar<br />

7 Stages, out of order issue, execution and<br />

completion<br />

32KB, 64-way set associative, transient and<br />

locked cache region mechanism, software<br />

managed coherency, parity<br />

64 entry UTLB – full associativity<br />

4 entry ITLB, 8 entry DTLB<br />

3 cycle ITLB/DTLB miss penalty<br />

Dynamic<br />

16-entry BTAC, 4K-entry BHT<br />

36-bit (64GB physical address)<br />

Three independent 128-bit PLB4 master<br />

ports (instruction read, data read, data<br />

write), each with separate address bus<br />

JTAG and Trace FIFO ports<br />

Real-time, non-invasive trace supported<br />

128b Processor Local Bus<br />

128b Processor Local Bus<br />

32K<br />

32K<br />

I-cache<br />

I-cache<br />

I-cache<br />

I-cache<br />

control<br />

control<br />

Instruction Unit<br />

Instruction Unit<br />

Complex<br />

Complex<br />

Integer<br />

Integer<br />

Pipe<br />

Pipe<br />

MAC<br />

MAC<br />

MMU<br />

MMU<br />

Simple<br />

Simple<br />

Integer<br />

Integer<br />

Pipe<br />

Pipe<br />

32K<br />

32K<br />

D-cache<br />

D-cache<br />

Branch<br />

Branch<br />

Unit<br />

Unit<br />

D-cache<br />

D-cache<br />

control<br />

control<br />

Load /<br />

Load /<br />

Store<br />

Store<br />

Pipe<br />

Pipe<br />

Timers Power Management<br />

Timers Power Management<br />

Debug / Trace Interrupts<br />

Debug / Trace Interrupts<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 12;


The Power of Flexibility<br />

• Each application has unique requirements<br />

• Business: cost, delivery time, existing<br />

supplier relationships, design services, etc.<br />

• Technical: process technology, integration,<br />

packaging, per<strong>for</strong>mance, other IP, etc.<br />

• Life cycle: process migration, multisourcing,<br />

cost reductions, strategic options<br />

• Process portability provides flexibility to<br />

address these requirements<br />

• Flexibility is key to proliferation<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 13;


Flexibility Creates Value<br />

• Design Value is a<br />

complicated function<br />

Value = f(Area,<br />

Per<strong>for</strong>mance, Power,<br />

Yield, IP, Packaging,<br />

Process, Delivery Time,<br />

Reliability, Risk,<br />

Location)<br />

• Complex interaction<br />

between the parameters<br />

• Intelligent tradeoffs<br />

increase value<br />

• Design specific<br />

Per<strong>for</strong>mance<br />

Example Trade-Offs<br />

Area or<br />

Power<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 14;


<strong>PowerPC</strong> 405-S & 440-S Overview<br />

• Macro Objective:<br />

• Technology independent, reusable<br />

and fully synthesizable <strong>PowerPC</strong><br />

cores<br />

• Design Objectives<br />

• Standard SRAM’s and Register Files<br />

<strong>for</strong> Caches and UTLB’s<br />

• Maintain cycle compatibility with the existing <strong>PowerPC</strong> 4xx<br />

• Target per<strong>for</strong>mance of 300 MHz (440-S) and 250 MHz (405-S) <strong>for</strong><br />

Artisan TSMC 13 LVFSG<br />

• Fault coverage goal of >98%<br />

• Use a complete <strong>Synopsys</strong> tool flow<br />

• Verification Objectives<br />

• Create a portable verification environment<br />

2.5mW/MHz<br />

• Create tests to verify the major architectural capabilities<br />

• Easy delivery and use via <strong>Synopsys</strong> DesignWare ®<br />

440<br />

32K/32K<br />

180nm<br />

15.5mm 2<br />

1.9mW/MHz<br />

405<br />

16K/16K<br />

180nm<br />

8.0mm 2<br />

1.5mW/MHz<br />

440 440-S<br />

32K/32K<br />

130nm<br />

9.8mm 2<br />

0.9mW/MHz<br />

405 405-S<br />

16K/16K<br />

130nm<br />

3.8mm 2<br />

32K/32K<br />

Fully<br />

Synthesizable<br />

16K/16K<br />

Fully<br />

Synthesizable<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 15;


<strong>Synopsys</strong> Power Solution -<br />

Design Flexibility and Portability<br />

• System C models<br />

• System-level SystemC models<br />

• Instrumented <strong>for</strong> System Studio<br />

• SoC Design<br />

• Design View<br />

• Implementation View<br />

• CPU, SoC testbenches with test suites<br />

• Documentation<br />

• Implementation scripts with README’s<br />

• User Guide, Data Book, App Notes, etc.<br />

• Support<br />

• Award-winning customer support<br />

• Qualified design services and complimentary IP<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 16;


SystemC Models<br />

• C++ class library to model SoC hardware<br />

and processes<br />

• Used <strong>for</strong> system-level design<br />

• Architectural analysis of processors, buses,<br />

custom logic, and IP<br />

• Explore hardware/software tradeoffs<br />

• SystemC is an open industry standard<br />

• Tool and IP support from multiple companies<br />

• C++ basis allows concurrent development<br />

of hardware and software<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 17;


<strong>Synopsys</strong> SystemC Deliverables<br />

• Original models,<br />

testing and<br />

validation from <strong>IBM</strong><br />

• <strong>Synopsys</strong><br />

distributes and<br />

supports<br />

• Instrumented <strong>for</strong><br />

System Studio<br />

SystemC Model List<br />

• <strong>PowerPC</strong> 405 Processor Core<br />

• <strong>PowerPC</strong> 440 Processor Core<br />

• PLB4 (Processor Local Bus v4.x)<br />

• DMA Controller (PLB4)<br />

• DCR (Device Control Register)<br />

• UIC (Universal Interrupt Controller)<br />

• UART (Universal Asynchronous<br />

Receiver Transmitter)<br />

• MCMAL PLB4 (Multi-channel<br />

Memory Access Layer)<br />

• Memory (DDR) Controller (PLB4)<br />

• EBC (External Bus Controller)<br />

• OPB (On Chip Peripheral Bus)<br />

• PLB4 to OPB Bridge<br />

• OPB to PLB4 Bridge<br />

• PCI-X to PLB4<br />

• PCI-Express<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 18;


ICU Rd DCU Rd DCU Wr<br />

Design View coreKit Overview<br />

• “Black Box” Verilog Models <strong>for</strong> the<br />

<strong>PowerPC</strong> 4xx-S<br />

• Fixed configuration to determine<br />

feasibility<br />

• Cycle compatible VMC model of the 4xx-S<br />

• VERA based CPU testbench<br />

• Timing model <strong>for</strong> WC operating conditions<br />

<strong>for</strong> Artisan TSMC 13 LVFSG<br />

• All appropriate documentation (databook,<br />

datasheet, etc.)<br />

• PLB-to-AHB Bridge Verilog Model<br />

• Cycle compatible VMC model of the<br />

PLB2AHB Bridge<br />

• VERA based SOC testbench <strong>for</strong> the<br />

PLB2AHB Bridge<br />

• Application note<br />

• Available to DesignWare licensees<br />

at no additional charge<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 19;<br />

PLB<br />

DW AMBA VIP<br />

AHB<br />

Arbiter<br />

Arbiter<br />

PLB<br />

Monitor<br />

AHB<br />

Master1<br />

DW AMBA VIP<br />

PLB<br />

M aster<br />

AHB<br />

Monitor<br />

Verilog Toplevel<br />

Testbench<br />

VERA Models<br />

hclk<br />

SYS_plbClk<br />

dcr_clk<br />

Backend Interface<br />

PLB<br />

Monitor<br />

Interrupt<br />

Ctrl Model<br />

JTAG<br />

Model<br />

DCR<br />

Model<br />

AHB<br />

Master3<br />

PLB<br />

Slave1<br />

Inte rru p t pin s<br />

JT A G Interface<br />

DCR Bus<br />

DCR<br />

Monitor<br />

PLB (128-bits)<br />

PLB2AHB Bridge<br />

(PLB Slave 3)<br />

(AHB M aster 2)<br />

PLB Slave/<br />

Backend<br />

Control<br />

Processor Processor Local Local Bus Bus (PLB)<br />

PLB<br />

Slave2<br />

AHB (32/64/128-bits)<br />

AHB<br />

Slave1<br />

440 Core<br />

Clock and<br />

Reset Logic<br />

PLB M aster<br />

(PPC440)<br />

DCR<br />

AHB<br />

Slave2<br />

These IP's are not delivered as a part of the corekit, but has to be installed<br />

separately. However the testbench will be instantiating these com ponents.<br />

IB M P L B<br />

Slave Model<br />

(m em ory)<br />

<strong>IBM</strong> PLB Model Toolkit<br />

DCR<br />

Monitor<br />

DCR<br />

Slave<br />

DCR<br />

Slave<br />

AHB<br />

Slave3<br />

DCR<br />

DCR<br />

IB M<br />

PLB<br />

Arbiter


Testbench - 440-S CPU<br />

Verilog Toplevel<br />

Testbench<br />

Backend Interface<br />

PLB Slave/<br />

Backend<br />

Control<br />

IB M P L B<br />

Slave Model<br />

(m em o ry)<br />

PLB<br />

Monitor<br />

Processor Processor Local Local Bus Bus (PLB)<br />

IB M<br />

PLB<br />

Arbiter<br />

Interrupt<br />

Ctrl Model<br />

In te rru p t p in s<br />

ICU Rd DCU Rd DCU Wr<br />

JTAG<br />

Model<br />

JT A G In terface<br />

440 Core<br />

DCR<br />

Model<br />

DCR Bus<br />

VERA Models<br />

DCR<br />

Monitor<br />

Clock and<br />

Reset Logic<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 20;


Testbench - PLB/AHB SOC<br />

<strong>IBM</strong> PLB Model Toolkit<br />

PLB<br />

Monitor<br />

PLB<br />

Master<br />

PLB<br />

Slave1<br />

PLB<br />

Slave2<br />

PLB M aster<br />

(PPC440)<br />

PLB<br />

Arbiter<br />

PLB (128-bits)<br />

DCR<br />

Monitor<br />

DCR<br />

DCR<br />

hclk<br />

SYS_plbClk<br />

dcr_clk<br />

PLB2AHB Bridge<br />

(PLB Slave 3)<br />

(AHB M aster 2)<br />

DCR<br />

DCR<br />

Slave<br />

DCR<br />

Slave<br />

DW AMBA VIP<br />

AHB<br />

Arbiter<br />

AHB (32/64/128-bits)<br />

AHB<br />

Master1<br />

AHB<br />

Master3<br />

AHB<br />

Slave1<br />

AHB<br />

Slave3<br />

DW AMBA VIP<br />

AHB<br />

Monitor<br />

AHB<br />

Slave2<br />

These IP's are not delivered as a part of the corekit, but has to be installed<br />

separately. However the testbench will be instantiating these com ponents.<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 21;


Implementation View coreKit Overview<br />

• RTL source <strong>for</strong> <strong>PowerPC</strong> core and PLB-to-AHB Bridge<br />

• Configurable via coreAssembler<br />

• Portable RTL validated by both <strong>IBM</strong> and <strong>Synopsys</strong><br />

• Implementation scripts with documentation<br />

• RTL simulation in an automated verification environment<br />

• Default floor plans<br />

• Integration of technology specific RAMs<br />

• Logical and physical synthesis with clock tree synthesis<br />

• Scan insertion and ATPG<br />

• Formal verification<br />

• Power optimization and analysis<br />

‣ Complete RTL-to-GDSII capability<br />

• Documentation <strong>for</strong> SoC integration<br />

• User Guide, Data Book<br />

• App Notes<br />

• Licensing<br />

• <strong>PowerPC</strong> license from <strong>IBM</strong><br />

• DesignWare license from <strong>Synopsys</strong><br />

128-bit Processor Local Bus<br />

32KB<br />

I-cache<br />

w/parity<br />

I-cache<br />

Control<br />

Instruction<br />

Unit<br />

Complex<br />

Integer<br />

Pipe<br />

MAC<br />

Timers<br />

Debug/Trace<br />

MMU<br />

Simple<br />

Integer<br />

Pipe<br />

32KB<br />

D-cache<br />

w/parity<br />

Branch<br />

Unit<br />

D-cache<br />

Control<br />

Load /<br />

Store<br />

Pipe<br />

Power Management<br />

Interrupts<br />

<strong>PowerPC</strong> 440 Core<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 22;


Design View Flow<br />

Install Design<br />

View coreKit<br />

Create coreConsultant<br />

workspace<br />

Implementation View Flow<br />

Install Implementation<br />

View coreKit<br />

Create coreConsultant<br />

workspace<br />

Configure RTL<br />

Install VMC Model<br />

Simulate VMC Model<br />

in standalone verification environment<br />

Simulate VMC Model<br />

in application verification environment<br />

Synthesize application logic using<br />

<strong>PowerPC</strong> 4xx Star IP CPU’s timing<br />

model to check approximate timing<br />

Get Implementation<br />

View coreKit<br />

Simulate configured RTL in<br />

standalone verification<br />

environment<br />

Simulate configured RTL in<br />

application verification<br />

environment<br />

Run STA<br />

Formally verify gatelevel<br />

netlist<br />

Simulate gate-level netlist<br />

Per<strong>for</strong>m power analysis<br />

Integrate technology<br />

specific RAMs<br />

Synthesize (RTL-togates)<br />

Insert scan<br />

Create floorplan<br />

Run physical synthesis<br />

Create test vectors<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 23;


<strong>Synopsys</strong> Tool Support in coreKit<br />

Product<br />

VCS ®<br />

Vera<br />

Design Compiler ®<br />

Physical Compiler ®<br />

JupiterXT<br />

Astro<br />

DFT Compiler<br />

TetraMAX ®<br />

DesignWare BIST<br />

Power Compiler<br />

PrimePower<br />

Capability<br />

RTL simulation<br />

Verification Testbench<br />

Logic synthesis<br />

Physical synthesis<br />

Design planning<br />

CTS scripts <strong>for</strong> detailed placement and<br />

routing<br />

Design-<strong>for</strong>-test insertion<br />

Test pattern generation<br />

Built-In-Self-Test capability<br />

Power optimization<br />

Full-chip power analysis<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 24;


Default <strong>IBM</strong> <strong>PowerPC</strong> 440-S<br />

Floorplan<br />

128-bit Processor Local Bus<br />

32KB<br />

I-cache<br />

w/parity<br />

32KB<br />

D-cache<br />

w/parity<br />

DCA<br />

top array<br />

DCA<br />

bottom array<br />

I-cache<br />

Control<br />

MMU<br />

D-cache<br />

Control<br />

UTLB<br />

Instruction<br />

Unit<br />

Branch<br />

Unit<br />

ICA<br />

top array<br />

BHT SRAM<br />

ICA<br />

bottom array<br />

Complex<br />

Integer<br />

Pipe<br />

MAC<br />

Timers<br />

Debug/Trace<br />

Simple<br />

Integer<br />

Pipe<br />

Load /<br />

Store<br />

Pipe<br />

Power Management<br />

Interrupts<br />

<strong>PowerPC</strong> 440 Core<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 25;


Default <strong>IBM</strong> <strong>PowerPC</strong> 405-S<br />

Floorplan<br />

64-bit Processor Local Bus<br />

I Cache<br />

I Cache<br />

16K<br />

I-cache<br />

16K<br />

D-cache<br />

I-cache<br />

control<br />

MMU<br />

D-cache<br />

control<br />

Instruction<br />

Unit<br />

Branch<br />

Unit<br />

D Cache<br />

D Cache<br />

Execution<br />

Unit<br />

GPRs<br />

MAC<br />

Timers<br />

Power Mgmt<br />

Debug/Trace<br />

Interrupts<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 26;


<strong>Synopsys</strong> DesignWare ® IP portfolio<br />

DesignWare Star IP<br />

DesignWare<br />

<strong>IBM</strong> <strong>PowerPC</strong> 440<br />

On-Chip Bus<br />

Datapath, AMBA IP, Memory,<br />

Verification IP, Building<br />

Block IP, 8051, 6811, etc.<br />

DesignWare Library<br />

DesignWare Cores<br />

PCI Express, PCI-X,<br />

USB 2.0, USB OTG,<br />

IEEE 1394, etc.<br />

DesignWare<br />

Verification<br />

Library<br />

AMBA<br />

PCI Express<br />

PCI<br />

PCI-X<br />

Serial I/O<br />

USB 2.0<br />

IEEE 1394<br />

Ethernet<br />

Memory<br />

etc.<br />

DesignWare Star IP<br />

DesignWare Library<br />

DesignWare Cores<br />

DesignWare Verification Library<br />

Microprocessor cores from leading Star IP providers<br />

Infrastructure IP, including high-speed datapath generators<br />

Portfolio of digital and analog connectivity IP<br />

Simulation models of buses & I/O standards<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 27;


Benefits <strong>for</strong> <strong>PowerPC</strong> in DesignWare<br />

• Broad Availability<br />

• 25,000+ DesignWare users<br />

worldwide<br />

• Availability of supporting<br />

IP components<br />

DesignWare Star IP<br />

DesignWare<br />

<strong>IBM</strong> <strong>PowerPC</strong>440/405<br />

• Fully Integrated with AMBA peripherals<br />

• AMBA AHB/APB w/ automated subsystem assembly<br />

• All DesignWare peripherals supported<br />

On-Chip Bus<br />

Datapath, AMBA IP, Memory,<br />

Verification IP, Building<br />

Block IP, 8051, 6811, etc.<br />

DesignWare Library<br />

• Native CoreConnect interface retained and supported<br />

• Industry recognized first-tier customer support<br />

• Experienced technical support infrastructure<br />

• Tested with complete design flow<br />

DesignWare Cores<br />

PCI Express, PCI -X,<br />

USB 2.0, USB OTG,<br />

IEEE 1394, etc.<br />

DesignWare<br />

Verification<br />

Library<br />

AMBA<br />

PCI Express<br />

PCI<br />

PCI-X<br />

Serial I/O<br />

USB 2.0<br />

IEEE 1394<br />

Ethernet<br />

Memory<br />

etc.<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 28;


Summary<br />

• All program objectives achieved<br />

• Cycle compatibility with existing 440 and 405 cores<br />

• Single cycle cache access<br />

• Target per<strong>for</strong>mance of 300 MHz (440-S) and 250 MHz<br />

(405-S) achieved<br />

• Portable verification environment and critical test<br />

cases packaged in core delivery<br />

• <strong>Synopsys</strong> is a “one-stop shop” <strong>for</strong> synthesizable<br />

<strong>PowerPC</strong> 440 and 405 cores<br />

• Complete tool flow from RTL to CTS<br />

• Knowledge of CoreConnect and AMBA peripherals<br />

• Supported through DesignWare<br />

• <strong>Synopsys</strong> Professional Services are experts in<br />

<strong>PowerPC</strong> core and system implementations<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 29;


<strong>Synopsys</strong> and Power<br />

A Complete and Flexible Solution<br />

Complete <strong>Synopsys</strong> Solution<br />

Partners<br />

<strong>IBM</strong><br />

Power.org<br />

Foundries<br />

Services<br />

<strong>PowerPC</strong> experience<br />

Low power, SoC design<br />

Complete Flow Expertise<br />

Tools<br />

IP<br />

Distribution & support (DesignWare)<br />

Proven Reusability Methodology<br />

#1 Connectivity IP provider<br />

Core Connect and AMBA IP<br />

System-Level Design Capability<br />

Complete Functional Verification<br />

Complete <strong>PowerPC</strong> and SoC Implementation Flow<br />

Post GDS Solution (OPC, PSM, fracturing)<br />

© 2005 <strong>Synopsys</strong>, Inc. Pg. 30;

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!