11.01.2015 Views

Thin Film Deposition Techniques - DSpace@INFLIBNET Home

Thin Film Deposition Techniques - DSpace@INFLIBNET Home

Thin Film Deposition Techniques - DSpace@INFLIBNET Home

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter-II<br />

<strong>Thin</strong> <strong>Film</strong> <strong>Deposition</strong> and Characterization <strong>Techniques</strong><br />

Part A:<br />

<strong>Thin</strong> <strong>Film</strong> <strong>Deposition</strong> <strong>Techniques</strong><br />

2. A. 1 Introduction<br />

<strong>Thin</strong> films are thin material layers ranging from fractions of a<br />

nanometer to several micrometers in thickness. Electronic semiconductor<br />

devices and optical coatings are the main applications benefiting from thin film<br />

construction. A familiar application of thin films is the household mirror which<br />

typically has a thin metal coating on the back of a sheet of glass to form a<br />

reflective interface. The process of silvering was once commonly used to<br />

produce mirrors. A very thin film coating (less than a nanometer) is used to<br />

produce two-way mirrors. <strong>Thin</strong>-films are used to produce thin-film batteries.<br />

<strong>Thin</strong> film technology is widely used in photoelectrochemical and dyesensitized<br />

solar cells [1]. In case of ceramic thin films, they are in widely used<br />

in coating technology. This is due to its relatively high hardness and inertness<br />

of ceramic materials to make this type of thin coating of interest for protection<br />

of substrate materials against corrosion, oxidation and wear. In particular, the<br />

use of such coatings on cutting tools can extend the life of these items by<br />

several orders of magnitude. Research is being done on a new class of thin<br />

film inorganic oxide materials, called amorphous heavy-metal cation multicomponent<br />

oxide, which could be used to make transparent transistors that<br />

are inexpensive, stable and environmentally benign [2-3].<br />

Definition and basics of thin films<br />

A definition of thin film is “low dimensional material created by<br />

condensing, one by one, atomic/molecular/ionic species of matter”. The<br />

thickness is typically less than several microns. Whatever be the film<br />

thickness limit, an ideal film can mathematically be defined as a<br />

homogeneous solid material contained between two parallel planes and<br />

extended infinitely in two directions (say x, y) but restricted along the third<br />

direction (z), which is perpendicular to the (x, y) plane. The dimension along<br />

the z-direction is known as film thickness (t). Its magnitude may vary from a<br />

29


Chapter-II<br />

limit t=o to any arbitrary value say to 10 µm or more but always remaining<br />

much less than those along the other two directions i.e. x and y.<br />

A basic step involved in thin film deposition is, it needs substrate<br />

because characteristics of thin films depend on the surface condition of the<br />

substrate. It formed after depositing the materials into atomic/molecular scale<br />

by physical and/or chemical measures. Properties of thin films are mainly<br />

depending on the cleanliness and nature of the substrate, the deposition<br />

conditions like temperature, pH, time concentration etc. Post deposition heat<br />

treatment and passivation like parameters are important process in thin film<br />

fabrication. Material properties are basically depending on nucleation and<br />

kinetics of thin film formation. The nucleation involves adsorption, deposition,<br />

condensation and migration of atoms. This process takes place under super<br />

saturation, rapid heat treatment and nonequilibrium thermodynamics.<br />

Therefore, it is planning to prior to this improvement in our best knowledge of<br />

thin films, implement in to real devices [4].<br />

In ancient years, thin solid films are used in antireflection coatings for<br />

lenses, automobile headlights and multilayer interface filters. Now a day’s<br />

thin-film technologies are being developed as a means of substantially<br />

reducing the cost of photovoltaic systems. The reason for this is that thin-film<br />

modules are expected to be cheaper to manufacture owing to their reduced<br />

material costs, energy costs, handling costs and capital costs. The needs of<br />

new and improved optical and electronic devices have stimulated the study of<br />

thin solid films of elements, as well as binary, ternary and quaternary systems.<br />

Earlier on the basis of the physical dimension namely thickness the difference<br />

between thin and thick was made. But now days such difference is made on<br />

the basis of its applications. If coating is used for its bulk properties such as<br />

corrosion resistance then it is a thick film. If coating is used for surface<br />

properties such as electron emission, it is a thin film. A large number of<br />

materials are being used today for coating applications [5].<br />

2. A. 2 <strong>Deposition</strong> techniques for thin films<br />

<strong>Deposition</strong> methods of thin films can be divided into two major<br />

categories: i) physical deposition processes and ii) chemical deposition<br />

processes.<br />

30


Chapter-II<br />

Several techniques have been developed (depending on the desired<br />

film properties) for the deposition of the thin films of the metals, alloys,<br />

semiconductors, ceramic, polymer and superconductors on a variety of the<br />

substrate materials. The properties of thin films are extremely sensitive to the<br />

method of preparation. Underlying the performance and economics of thin film<br />

components are the manufacturing techniques that are used to produce the<br />

devices. Each method has its own merits and demerits and of course no one<br />

technique can deposit the thin films covering all the desired aspects such as<br />

cost of equipments, deposition conditions and nature of the substrate<br />

material. The vast varieties of thin film materials, their deposition, processing,<br />

fabrication techniques, spectroscopic characterization, optical characterization<br />

probes, physical properties and structure-property relationships are the key<br />

features of such devices and basis of thin film technologies.<br />

Physical methods cover the deposition techniques which depend on<br />

the evaporation or ejection of the material from a source that is evaporated or<br />

sputtered. Where as chemical methods depend on specific reaction conditions<br />

like temperature, pH, concentration of precursors etc. [6]. The chemical<br />

reactions may also depend on thermal effects as in vapour phase deposition<br />

and thermal growth. However, in all these cases a definite chemical reaction<br />

is required to obtain the final film. When one seeks to classify deposition of<br />

films by chemical methods, one finds that are available, into two more<br />

classes. The first of these classes is concerned with the chemical formation of<br />

the film from medium e.g. electroplating, chemical reduction plating and<br />

vapour phase deposition. A second class is that of formation of this film from<br />

the precursor ingredients e.g. anodization, gaseous anodization, thermal<br />

growth, sputtering ion beam implantation, chemical vapor deposition (CVD),<br />

metal organo-chemical vapor deposition (MOCVD) and vacuum evaporation.<br />

The methods summarized under the classifications given are often<br />

capable of producing films defined as thin films i.e. 1 µm or less and films<br />

defined as thick films i.e. 1 µm or more. However, there are certain techniques<br />

which are only capable of producing thick films and these include screen<br />

printing, glazing, electrophoretic deposition, flame spraying and painting. <strong>Thin</strong><br />

31


Chapter-II<br />

film deposition techniques are broadly classified under two heading as listed<br />

in Table 2. A.1.<br />

Table 2. A. 1 Broad classification of thin film deposition technique<br />

32


Chapter-II<br />

2. A. 3 Classification of thin film deposition techniques<br />

<strong>Thin</strong> film deposition techniques are classified as follows.<br />

2. A. 3. 1 Physical techniques<br />

a) Sputtering<br />

Bombardment of a surface of target material with energetic particles, it<br />

is possible to cause ejection of the surface atom then this process is known<br />

as sputtering. The ejected atoms can be condensed on to a substrate to form<br />

a thin film. Various theories have been put forward to account the mechanism<br />

of sputtering [7]. This method has various advantages over normal<br />

evaporation techniques in which no container contamination will occur. It is<br />

also possible to deposit alloy films which retain the composition of the parent<br />

target material. DC sputtering, radio frequency sputtering and magnetron<br />

sputtering methods are the oldest types of sputtering used. High pressure<br />

oxygen sputtering and facing target sputtering are the two new methods<br />

introduced for deposition of thin films for applications in superconducting and<br />

magnetic films.<br />

b) Ion plating<br />

The ion plating technique is developed by Mattox. In this atomistic,<br />

essentially sputter-deposition process the substrate is subjected to a flux of<br />

high energy ions, sufficient to cause appreciable sputtering before and during<br />

film deposition. The benefits of ion plating process are clean surface, high<br />

purity and dryness, compatibility with semiconductor integrated circuit<br />

processing and epitaxial film growth. However, there are certain<br />

disadvantages such as slow deposition rates, difficult stoichiometric control,<br />

high temperature post deposition annealing often required for crystallization<br />

and high capital expenditure. Ion plating using magnetron sputtering also has<br />

been reported.<br />

33


Chapter-II<br />

c) Physical vapour deposition<br />

Physical vapour deposition (PVD) is fundamentally a vaporization<br />

coating technique, involving transfer of material on an atomic level. It is an<br />

alternative process to electroplating.<br />

Physical vapour deposition processes takes place through following<br />

steps:<br />

i) Evaporation - The solid material to be deposited is physically converted<br />

to vapour phase.<br />

ii) Transportation - The vapour phase is transported across a region of<br />

reduced pressure from the source to the substrate.<br />

iii) Reaction - In some cases coatings will consist of metal oxides, nitrides,<br />

carbides and other such materials. In these cases, the target will<br />

consist of the metal. The atoms of metal will then react with the<br />

appropriate gas during the transport stage. For the above examples,<br />

the reactive gases may be oxygen, nitrogen and methane. In instances<br />

where the coating consists of the target material alone, this step would<br />

not be part of the process.<br />

iv) <strong>Deposition</strong> - The vapour condenses on the substrate to form the thin<br />

film. The conversion from solid to vapour phase is done through<br />

physical dislodgement of surface atoms by addition of heat in<br />

evaporation deposition or by momentum transfer in sputter deposition.<br />

The third category of PVD technique is the group of so called augmented<br />

energy techniques including ion, plasma or laser assisted depositions [8, 9].<br />

d) Evaporation<br />

There are two popular evaporation technologies, which are e-beam<br />

evaporation and resistive evaporation each referring to the heating method. In<br />

electron-beam evaporation, an electron beam is aimed at the source material<br />

causing local heating and evaporation. In resistive evaporation, a tungsten<br />

boat containing the source material is heated electrically with a high current to<br />

make the material evaporate. Many materials are restrictive in terms of what<br />

evaporation method can be used. Evaporation or sublimation techniques are<br />

widely used for the preparation of thin layers. A very large number of<br />

materials can be evaporated and if the evaporation is undertaken in vacuum<br />

34


Chapter-II<br />

system, the evaporation temperature will be considerably reduced. The<br />

amount of impurities in the growing layer will be minimized. In order to<br />

evaporate materials in a vacuum, a vapor source is required that will support<br />

the evaporant and supply the heat of vaporization. While allowing the charge<br />

of evaporant to reach a temperature sufficiently high to produce the desire<br />

vapour pressure, rate of evaporation is maintained in such a way that vapor<br />

source does not react chemically with the evaporant. To avoid contamination<br />

of the evaporant and hence of growing film, the support material itself must<br />

have a negligible vapour pressure and dissociation temperature of the<br />

operating temperature [10,11].<br />

2. A. 3. 2 Chemical techniques<br />

a) Metal organo chemical vapor deposition (MOCVD)<br />

Metal organo chemical vapor deposition (MOCVD) is the chemical<br />

vapour deposition method of epitaxial growth of materials.These materials are<br />

metal organics and metal hydrides containing the required chemical<br />

elements. It consists of heating an organometallic solution, which evaporates<br />

and is deposited on a heated substrate. The films grown by this method which<br />

generally requires expensive, sophisticated apparatus are usually<br />

homogeneous. This is a crucial attribute for the study of optical properties.<br />

MOCVD is a chemical vapor deposition process that uses metalo-organic<br />

source gases. For example indium phosphide could be grown in a reactor on<br />

a substrate by introducing Trimethylindium ((CH 3 ) 3 In) and phosphine (PH 3 ).<br />

This technique is preferred for the formation of devices incorporating<br />

thermodynamically metastable alloys and it has become a major process in<br />

the manufacture of optoelectronics.<br />

b) Cathodic deposition<br />

This is a standard method of electroplating. Two metal electrodes are<br />

dipped into an electrolyte solution and on application of an external field<br />

across the electrodes metal ions from the solution are deposited on cathode<br />

as a film. <strong>Deposition</strong> of the films is mainly controlled by the electrical<br />

parameters such as, electrode potential and current density.<br />

35


Chapter-II<br />

c) Electro deposition<br />

Electro deposition is the process of depositing a substance by the<br />

passage of electric current through the conducting medium producing a<br />

chemical change. It is also known as electroplating. Electro deposition is<br />

divided in to two technologies namely Electroplating and Electroless plating.<br />

The phenomenon of electrolysis is governed by the Faraday’s laws, when a<br />

metal electrode is immersed in a solution containing ions of that metal, a<br />

dynamic equilibrium M + x (M- Metal atom and X = S, Se and Te) is set up.<br />

The factors influencing the electro deposition process are i] current density ,ii]<br />

bath composition, iii] pH of the electrolyte, iv] temperature of the bath, v]<br />

agitation and vi] electrode shape.<br />

It consists of an anode and a cathode immersed in a suitable<br />

electrolyte. By using electrical current to reduce cations of a desired material<br />

from a solution and coat that material as a thin film onto a conductive<br />

substrate surface and usually some gas generation at the counter electrode.<br />

Now days there have been considerable interests in the electro deposition<br />

[12].<br />

In the electro less plating deposition of films can be done directly by<br />

chemical reaction, without the application of any electrode potential. This<br />

process is desirable since it does not require any external electrical potential<br />

and contact to the substrate during processing. Unfortunately, it is also more<br />

difficult to control with regards to film thickness and uniformity. This is simple<br />

technique that does not require high temperature.<br />

d) Spray pyrolysis<br />

Spray pyrolysis is a process in which a thin film is deposited by<br />

spraying a solution on a heated surface, where a constituent react to form a<br />

chemical compound. The sprayed droplets on reaching the hot substrate<br />

undergo pyrolytic decomposition and form a single crystal or cluster of<br />

crystallites of the product. The other volatile byproducts and excess solvents<br />

escape in the vapour phase. The thermal energy for decomposition,<br />

subsequent recombination of the species, sintering and re-crystallization of<br />

the crystallites is proved by hot substrate. The nature of the fine spray<br />

36


Chapter-II<br />

droplets, with the help of a carrier gas depends upon spray nozzle. The<br />

growth of a film by a spray pyrolysis is determined by nature of the substrate,<br />

solution as well as spray parameters. The films are generally strong and<br />

adherent, mechanically hard, pinhole free and stable with temperature and<br />

time. The morphology of the films is general rough and that will depend upon<br />

the spray conditions. The surface of the substrate gets affected in the spray<br />

process and the choice is limited to glass, quartz, ceramics or oxides, nitride<br />

or carbide coated substrates.<br />

e) Chemical bath deposition<br />

<strong>Film</strong>s can be grown on either metallic or nonmetallic substrates by<br />

dipping them in appropriate solutions of metal salts without the application of<br />

any electric field. <strong>Deposition</strong> may occur by homogeneous chemical reactions<br />

usually reduction of metal ions in solution by a reducing agent. If this occurs<br />

on a catalytic surface it is called as electro less deposition.<br />

Among the methods mentioned in the Table 2.1, the chemical methods<br />

are economical and easier than that of the physical methods. But there is no<br />

ideal method to prepare thin films, which will satisfy all possible requirements.<br />

Among the chemical methods, the Chemical Bath <strong>Deposition</strong> (CBD) method is<br />

the most popular today because large number of conducting and<br />

semiconducting thin films can be prepared by this technique. It is also popular<br />

due to its simplicity and low cost. In this technique, the thin films can be<br />

deposited on different substrates like glass, ceramic, metallic etc. Many<br />

studies have been conducted over about three decades on chemical bath<br />

deposition method for the preparation of thin films. There after due to good<br />

productivity of this technique on a large scale and simplicity of the apparatus,<br />

it offered most attractive way for the formation of thin films of metal oxides,<br />

metallic spinal type oxides, binary chalcogenides, ternary chalcogenides,<br />

superconducting oxides etc. It is simple and low cost technique and is formed<br />

in a two step fashion, first is sensitizing and second is deposition. It has<br />

capability to produce large area of high quality adherent films of uniform<br />

thickness [13-19].<br />

37


Chapter-II<br />

f) Anodic oxidation<br />

This is an electrolytic method for producing oxide films on the surface<br />

of metal. These metals form the anode that dips into a liquid electrolyte such<br />

as a salt and acid solution. Oxide ions are attracted to the anode to form a<br />

thin layer of metal oxide. On increasing the field strength, more oxide ions<br />

diffuse through the oxide layer to the metal surface and hence the oxide layer<br />

grows thicker.<br />

g) <strong>Deposition</strong> by chemical reactions<br />

Chemical reaction either takes place on the surface of the dipped<br />

substrate or in the solution itself, where a mixing of components on the<br />

surface to be coated is required. Most of the coatings<br />

The most widely used deposition methods are listed below;<br />

Homogeneous chemical reduction of a metal ion solution by a reducing<br />

agent regardless the substrates.<br />

Electroless plating for the deposition of metallic coating by controlled<br />

chemical reduction that is catalyzed by the metal or alloy being<br />

deposited.<br />

Conversion coatings forming a sacrificial layer containing compound of<br />

the metal substrate.<br />

Displacement deposition or galvanic deposition makes use of the<br />

electro negativity differences of metals.<br />

Arrested Precipitation Technique (APT) means a metal ion is arrested<br />

by organic complexing agent which is then made available by slow<br />

dissociation of the organometallic complex at specific pH value.<br />

Among the various chemical deposition systems, chemical bath<br />

deposition has attracted a great deal of attention because of its overriding<br />

advantages over the other conventional thin film deposition methods.<br />

The chemical bath deposition method for the preparation of thin films<br />

has recently been shown to be an attractive technique because of its<br />

simplicity, convenience, low cost, low temperature and it has been<br />

successfully used for depositing ternary metal chalcogenide thin films [20].<br />

Understanding of the chemistry and physics of the various process<br />

involved in a deposition processes has now made possible to obtain undoped/<br />

38


Chapter-II<br />

doped, multi-component semiconductor thin films of usual/unusual and<br />

metastable structure.<br />

h) Laser Chemical Vapour <strong>Deposition</strong> (LCVD)<br />

Laser Chemical Vapour <strong>Deposition</strong> (LCVD) is a recently developed<br />

technique using a laser source. It is a modification of conventional CVD. This<br />

process holds great potential for the production of small and complex metal<br />

and ceramic parts. Recent research significant to LCVD is reviewed,<br />

summarizing the general state of knowledge in the field, and discussing<br />

important challenges that remain. The basics of the LCVD process and the<br />

various deposition techniques, including: photolytic versus pyrolytic deposition<br />

and fiber growth versus direct writing methods are considered. The<br />

application of heat transfer and chemical kinetic models to the LCVD process<br />

as a means for predicting deposit properties is described. The deposition<br />

process is considered with respect to efforts to increase deposition rates and<br />

to control deposit shapes. Modern process control techniques for measuring<br />

deposition temperature and growth rate are also discussed.<br />

i) Arrested Precipitation Technique (APT)<br />

APT is modified chemical bath deposition method. APT is based on<br />

Ostwald ripening law is simple and inexpensive method used for deposition of<br />

wide variety of metal chalcogenide thin films [21-23]. It can be distinguished<br />

from other conventional techniques as follows:<br />

It is simple, inexpensive and does not require sophisticated<br />

Instrumentation.<br />

It is ideally suited for large area thin film depositions. Substrate<br />

surfaces of both accessible and non-accessible nature could easily be<br />

deposited.<br />

Stoichiometry of the deposits can be maintained since the basic<br />

building blocks are ions instead of atoms.<br />

The deposition is usually at low temperature and avoids oxidation or<br />

corrosion of the metallic substrates.<br />

Electrical conductivity of the substrate material is not an important<br />

criterion.<br />

39


Chapter-II<br />

<br />

<br />

<br />

<br />

<br />

Wide varieties of conducting/non-conducting substrate materials can<br />

be used.<br />

Slow film formation process facilitates better orientation of the<br />

crystallites with improved grain structures over the substrate surface.<br />

Doped and mixed films could be obtained by merely adding the dopant<br />

solution directly into the reaction bath.<br />

Dissociation rate of organo metallic complex to release free metal ions<br />

for reaction is well control by maintaining the pH of reacting solution.<br />

An intimate contact between reacting species and the substrate<br />

material permit pinhole free and uniform deposits on the substrate.<br />

40


Chapter-II<br />

Section B: <strong>Thin</strong> <strong>Film</strong> Characterization <strong>Techniques</strong><br />

2. B. 1 Introduction<br />

In this section different analytical techniques used to characterize the<br />

thin films are described with relevant principles of their operation and working.<br />

Nowadays, there has been increasing demand for deposition of thin films for<br />

their wide range of potential applicability in the various fields of science and<br />

technological progress in modern society. The complete characterization of<br />

any material consists of compositional characterization, phase analysis,<br />

structural elucidation, surface characterization and micro-structural analysis<br />

which have strong bearing on the properties of materials. A lot of efforts have<br />

been taken to obtain thin films of required properties such as thickness,<br />

texture, uniformity, adhesivity, orientation etc. for particular applications. <strong>Thin</strong><br />

film properties such as structural, morphological, electrical, optical and<br />

electrochemical of a given material are strongly dependent on the method of<br />

deposition, the substrate material, the substrate temperature, rate of<br />

deposition, and background pressure. In this section operation and working of<br />

different analytical instruments used to characterize our thin films are<br />

described with relevant principles. Here we use the APT deposition method<br />

for the deposition of our thin films. This method is economical and easier than<br />

other physical methods. Number of conducting and semiconducting thin films<br />

can be prepared by using this technique [24-26].<br />

2. B. 2 Surface profilometry<br />

Thickness measurement can be done by two methods. One is weight<br />

difference density method and another is surface profilometer. Weight<br />

difference method is time consuming. So as compared to weight difference<br />

method surface profilometer is superior to measure thickness of the film. The<br />

method chosen should be convenient, reliable and simple. A typical<br />

profilometer is a basic tool that allows quick measurement of the physical<br />

thickness of films, providing a sharp edge of the film. The thickness of film is<br />

the most significant parameter that affects the properties of the thin films. Any<br />

known physical quantity related to film thickness can be used to measure the<br />

thickness. In Figure 2.1 schematic ray diagram of typical profilometer is<br />

41


Chapter-II<br />

shown. It mainly measures film thickness (step height) and roughness. <strong>Film</strong><br />

thickness includes a) changes of 200 Å to 65µm and b) vertical resolution of<br />

about 10 Å 0 . Horizontal resolution depends on tip radius. In our experiment we<br />

used the XP-1 Ambios technology surface profilometer (contact mode) having<br />

1 A o resolution as shown in Figure 2.2. Electro-magnetic sensors detect the<br />

vertical motion of the stylus as it is moved horizontally across the sample. But<br />

in case of soft film stylus penetrates in to the film.<br />

Figure 2. 1 Schematic ray diagram of typical profilometer<br />

(http://micromachine.stanford.edu/~hopcroft/Research/mattest.html)<br />

Figure 2. 2 Photograph showing the XP-1 Ambios Technology surface<br />

profilometer<br />

42


Chapter-II<br />

2. B. 3 UV-Visible spectrophotometry<br />

Figure 2. 3 Schematic of UV-Vis spectrophotometer<br />

(Source: UVVis_fig1.jpgcp.chem.agilent.com)<br />

Spectrophotometer is optical instrument that measures the intensity of<br />

light transmitted or reflected by objects as a function of wavelength. Figure 2.3<br />

shows schematic of UV-Vis spectrophotometer. Semiconductors absorb light<br />

with energy larger than their band gap. Absorption measurement can<br />

therefore be used to estimate their band gap energy. Measurements at low<br />

temperature are more meaningful due to the reduced amount of band tailing.<br />

To find dependence of the absorption coefficient on frequency for<br />

direct/indirect gap semiconductors, the material is made thin and its surfaces<br />

are polished to reduce scattering. Light incident on material is absorbed if it<br />

can cause an electronic transition. In semiconductors this process can occur<br />

by means of several mechanisms including,<br />

• Direct interband (band-to-band) transition<br />

• Indirect interband transitions<br />

• Impurity-to-band and impurity-impurity transitions<br />

• Excitonic transitions<br />

• Interband transitions<br />

• Phonon transitions<br />

In an ideal semiconductor, at absolute zero temperature the valence<br />

band would be completely full of electrons so that electron could not be<br />

excited to a higher energy state from the valence band. Absorption of quanta<br />

of sufficient energy tends to transfer the electrons from valence band to<br />

conduction band. The optical absorption spectra of semiconductors generally<br />

43


Chapter-II<br />

exhibit a sharp rise at a certain value of the incident photon energy, which can<br />

be attributed to the excitation of electrons from valence to conduction band<br />

(may also involve acceptor or donor impurity levels, and traps). The<br />

conservation of energy and momentum must be satisfied in optical absorption<br />

process. Basically there are two types of optical transitions that can occur at<br />

the fundamental edge of the crystalline semiconductor, direct and indirect.<br />

Both involve the interaction of an electromagnetic wave with an electron in the<br />

valence band, which gives rise across the fundamental gap in the conduction<br />

band. However, indirect transition also involves simultaneous interaction with<br />

lattice vibration. Thus the wave vector of the electron can change in the<br />

optical transition. The momentum change being taken or given up by phonon.<br />

The direct inter band optical transition involves a vertical transition of<br />

electrons from the valence band to the conduction band such that there is no<br />

change in the momentum of the electrons and energy is conserved as shown<br />

in Figure 2. 4 and Figure 2. 5.<br />

Figure 2 .4 A simple representation of band structure difference between<br />

metals, semiconductors, semimetals and insulators<br />

(Source: http://3.bp.blogspot.com/_Lm7Zn1DZFbc/TFJPKekhUgI/AAAA<br />

AAAAAHE/ruEruqrAHf4/s1600/figu1.png)<br />

44


Chapter-II<br />

Figure 2.5 Direct band and indirect band semiconductors<br />

In semiconductors, the optical absorption spectrum has been found to<br />

have three distinct regions:<br />

i) High absorption region (α ≥ 104 cm -1 )<br />

ii) Exponential edge region (1≤ α ≥ 104 cm -1 )<br />

iii) Weak absorption tail (1≤ α cm -1 )<br />

The optical transition is denoted by a vertical upward arrow as shown<br />

in Figure 2.5. For simple parabolic bands and for direct transition, absorption<br />

coefficient (α) is given by the equation [27, 28].<br />

A<br />

α = (hν<br />

−Eg) n<br />

(2.1)<br />

hν<br />

where α is the absorption coefficient, Eg is the separation between<br />

bottom of the conduction and top of the valence band,hν photon energy , A is<br />

constant parameter that depends on the transition probability, h’ is Planks<br />

constant, ‘ν’ is frequency and n assumes values of 1/2, 3/2 and 3 for allowed<br />

direct, allowed indirect, forbidden direct and forbidden indirect transitions<br />

respectively. For allowed direct type of transitions:<br />

(αhν) 2 = A (hν-Eg) (2.2)<br />

where, Eg is the separation between bottom of the conduction and top<br />

of the valence band, hν is the photon energy, n is constant and is equal to 1/2<br />

or 3/2 depending on whether transition is allowed or forbidden and A is a<br />

constant depending upon the transition probability for direct transition. If the<br />

45


Chapter-II<br />

plot of (αhν) 2 against hν is linear then the transition is direct allowed. The<br />

band gap energy Eg is determined by extrapolating the linear portion of the<br />

curve to the energy axis at α =0.<br />

In spectrophotometer light from the lamp enters in to the<br />

monochromator, which disperses the light and selects the particular<br />

wavelength chosen by the operator for the measurement. The light beam of<br />

selected wavelength is passed alternately through the sample and along the<br />

reference path. The reference and sample light beams pass through the cell<br />

compartment, consisting of a reference space and a sample space. The two<br />

light beams converge on the detector. Quantitative measurements in chemical<br />

analysis are done by comparison of the absorption with the absorbance of<br />

known concentration of the element.<br />

In present study UV-Visible spectrophotometer (Shimadzu model 3600,<br />

Japan) was used to determine absorption spectra of the sample in the<br />

wavelength range 400 to 900 nm. A glass slide of same thickness and size<br />

was used as reference throughout all the measurements. One side of the film<br />

was removed with the help of cotton swab moist in dilute HCl. The layer<br />

thickness of the as deposited samples was measured by surface profilometer.<br />

Absorption spectra were analyzed to determine absorption coefficient, optical<br />

band gap E g and mode of optical transition for all the compositions.<br />

2. B. 4 X-ray diffraction (XRD)<br />

X-ray Diffraction study (XRD) is essential in characrisation technique<br />

for determination of crystal structure and lattice parameters. Diffraction in<br />

general occurs only when the wavelength of the wave motion is of the same<br />

order of magnitude as the repeat distance between scattering centers. Figure<br />

2.6 shows the schematics of X-ray diffractometer.<br />

Diffraction is nothing but Bragg’s law and is given as,<br />

2 d sin θ = n λ (2.3)<br />

where,<br />

d = interplaner spacing<br />

θ = diffraction angle<br />

λ = wavelength of x-ray<br />

n = order of diffraction<br />

46


Chapter-II<br />

Figure 2. 6 Schematics of X-ray diffractometer.<br />

The basic principles of X-ray diffraction are found in textbooks [29-33].<br />

X-rays are ideally suited for probing the structural arrangement of atoms and<br />

molecules in a wide range of materials. The energetic x-rays can penetrate<br />

deep into the materials and provide information about the bulk structure.<br />

For thin films, the powder technique in conjunction with diffractometer<br />

is most commonly used. In this technique the diffracted radiation is detected<br />

by the counter tube, which moves along the angular range of reflections. The<br />

intensities are recorded on a computer system. The d values are calculated<br />

using equation 2.4 for known values of θ, λ and n. The X- ray diffraction data<br />

thus obtained is printed in tabular form on paper and is compared with Joint<br />

Committee Power Diffraction Standards (JCPDS) data to identify the unknown<br />

material. The sample used may be powder, single crystal or thin film. The<br />

crystallite size of the deposits is estimated from the full width at half maximum<br />

(FWHM) of the most intense diffraction line by Scherrer’s formula as follows<br />

[34].<br />

0.9λ<br />

D =<br />

βcosθ<br />

(2.4)<br />

where, D is crystallite size, λ is wavelength of X-ray used, β is full width<br />

at half maxima of the peak (FWHM) in radians, θ is Bragg's angle. The X- ray<br />

diffraction data can also be used to determine the dimension of the unit cell.<br />

47


Chapter-II<br />

This technique is not useful for identification of individuals of multilayer or<br />

percentage of doping material.<br />

2. B. 5 Scanning electron microscopy (SEM)<br />

Scanning electron microscope is an instrument that is used to observe<br />

the morphology of the solid sample at higher magnification, higher resolution<br />

and depth of focus as compared to an optical microscope [35,36]. It uses a<br />

focused beam of high kinetic energy electrons to generate a variety of signals<br />

at the surface of solid specimens. When an electron strikes the atom, variety<br />

of interaction products are evolved as shown in Figure 2.7. It illustrates these<br />

various products and their use to obtain the various kinds of information about<br />

the sample. The signals that derive from reveal information about the sample<br />

including external morphology (texture), chemical composition and crystalline<br />

structure and orientation of materials making up the sample. Scattering of<br />

electron from the electrons of the atom results into production of<br />

backscattered electrons and secondary electrons. Electron may get<br />

transmitted through the sample if it is thin. Primary electrons with sufficient<br />

energy may knock out the electron from the inner shells of atom and the<br />

excited atom may relax with the liberation of Auger electrons or X-ray<br />

photons. All these interactions carry information about the sample. Auger<br />

electron, ejected electrons and X-rays are energies specific to the element<br />

from which they are coming. These characteristic signals give information<br />

about the chemical identification and composition of the sample. Area ranging<br />

from approximately 1 cm to 5 microns in width can be imaged in a scanning<br />

mode using conventional SEM techniques (magnification ranging from 20X to<br />

approximately 30,000X, spatial resolution of 5 to 10 nm).<br />

Variety of interaction products evolved due to interaction of electron<br />

beam and sample in scanning electron microscope. A well-focused monoenergetic<br />

(~25 KeV) beam is decelerated in the solid sample giving various<br />

signals as mentioned above. These signals include secondary electrons,<br />

backscattered electrons, diffracted backscattered electrons etc.<br />

Backscattered electrons and secondary electrons are particularly pertinent for<br />

SEM application, their intensity being dependent on the atomic number of the<br />

host atoms. Each may be collected, amplified and utilized to control the<br />

48


Chapter-II<br />

brightness of the spot on a cathode ray tube. To obtain signals from an area<br />

the electron beam is scanned over the specimen surface by two pairs of<br />

electro-magnetic deflection coils.<br />

Figure 2. 7 Variety of interaction products evolved due to interaction of<br />

electron beam and sample in scanning electron microscope<br />

(Source: http://www.physics.utu.fi/tutkimus/materiaalitiede/SEM.html)<br />

So, cathode ray tube (C. R. T.) is the beam in synchronization with this<br />

the signals are transferred from point to point and signal map of the scanned<br />

area is displayed on a long persistent phosphor C. R. T. screen. Change in<br />

brightness represents change of a particular property within the scanned area<br />

of the specimen [37]. The ray diagram of scanning electron microscope is<br />

shown in Figure 2.8. The scattering cross section for back-scattered electrons<br />

is given by equation<br />

49


Chapter-II<br />

Figure 2. 8 The ray diagram of scanning electron microscope.<br />

(Source: Scanning-Electron-Microscope sem.jpg microscopy.ethz.ch)<br />

Q<br />

= 16.2 ∗ 1 0 −<br />

30<br />

2<br />

cot<br />

where, Z is atomic number and E is electric field.<br />

Here the cross-section section is proportional to Z 2 . Hence the back-scattered<br />

electrons are used for the Z contrast or for compositional mapping. SEM<br />

analysis is considered to be non-destructive that is x-rays generated by<br />

electron interactions do not lead to volume loss of the sample, so it is possible<br />

to analyze the same materials repeatedly.<br />

2. B. 6 Energy dispersive X-ray analysis (EDAX)<br />

⎡<br />

⎢<br />

⎣<br />

Z<br />

E<br />

⎤<br />

⎥<br />

⎦<br />

(2.5)<br />

Energy-dispersive<br />

X-ray spectroscopy (EDAX) is an analytical<br />

technique used for the elemental analysis or chemical characterization of a<br />

sample. In EDAX technique a sample is made the target in an X- ray tube and<br />

is bombarded with electrons of suitable energy, it emits characteristics X-rays.<br />

This is the basis of a method of chemical analysis. The emitted X-rays are<br />

analyzed in an X-ray spectrometer and the elements present in the sample<br />

⎛<br />

⎜<br />

⎝<br />

φ<br />

2<br />

⎞<br />

⎟<br />

⎠<br />

50


Chapter-II<br />

are qualitatively identified by their characteristics wavelengths. For<br />

compositions greater than or about 1% and elements separated by few atomic<br />

numbers, energy dispersion analysis is very useful because the intensities are<br />

increased about 100-Fold. The resolution however, of an energy dispersion<br />

instruments is as much as 50 times less than the wavelength dispersion<br />

spectrometer using a crystal. Thus overlapping of lines from nearby elements<br />

may occur. If a sample is irradiated with X-rays of sufficiently high energy, it<br />

will emit fluorescent radiation. This radiation may be analysized in an X-ray<br />

spectrometer and the elements present in the sample identified by their<br />

characteristics wavelengths. Study of thin films, ferrites, composites,<br />

biological samples and pharmaceutical samples are the common application<br />

areas [38]. During EDAX analysis, the specimen is bombarded with an<br />

electron beam inside the scanning electron microscope. The bombarding<br />

electrons collide with the specimen atoms own electrons, knocking some of<br />

them off in the process as shown in Figure 2.9. A position vacated by an<br />

ejected inner shell electron is eventually occupied by a higher-energy electron<br />

from an outer shell. However, the transferring outer electron must give<br />

up some of its energy by emitting an X-ray.<br />

The amount of energy released by the transferring electron depends on<br />

which shell it is transferring from, as well as which shell it is transferring to.<br />

Furthermore, the atom of every element releases X-rays with unique amounts<br />

of energy during the transferring process. Thus, by measuring the amounts<br />

of energy present in the X-rays being released by a specimen during electron<br />

beam bombardment, the identity of the atom from which the X-ray was<br />

emitted can be established.<br />

51


Chapter-II<br />

Figure 2. 9 Energy-dispersive X-ray microanalysis technique<br />

EDAX has been widely used to determine the compositions of<br />

particles, contamination and thin film layers in wafer fabrication. EDAX<br />

analysis is able to provide a good and reliable compositional analysis for<br />

particles and contamination, but for some cases, the EDAX results may not be<br />

accurate, especially for wafer thin film layer identification.<br />

2. B. 7 Atomic force microscopy (AFM)<br />

The atomic force microscopy (AFM) was first developed in 1982 at IBM<br />

in Zurich by Binnig, et al [39,40] .The atomic force microscopy (AFM) probes<br />

the surface of a sample with a sharp tip, a couple of microns long often less<br />

than 100A in diameter [41]. The tip is located at the free end of a cantilever,<br />

which is 100m long. The forces between the tip and sample surface cause the<br />

tip to 200 cantilevers to bend or deflect. A detector measures the cantilever<br />

deflection as tip is scanned over the sample or the sample is scanned under<br />

the tip. The measured cantilever deflection allows a computer to generate a<br />

map or surface topography [42].<br />

Several forces typically contribute to the deflection of an AFM<br />

cantilever. AFM operates by measuring the attractive or repulsive forces<br />

between a tip and the sample. The forces most commonly associated with<br />

52


Chapter-II<br />

atomic force microscopy are inter-atomic force called the Van der Waals<br />

force. The dependence of the Van der Waals force upon the distance<br />

between the tip and the sample is shown in Figure 2.10. The two distance<br />

regimes are labeled in the figure are (a) the contact regime and (b) noncontact<br />

regime.<br />

In the contact regime, the cantilever is held at a distance less than few<br />

angstroms from the sample surface and the inter-atomic force between the<br />

cantilever and the sample is repulsive. In the non-contact regime the<br />

cantilever is held at a distance of the order of tens to hundred of angstroms<br />

from the sample surface and the inter-atomic force between the cantilever and<br />

sample is attractive. In principle, AFM resembles the record player as well as<br />

the surface profilometer. However, AFM incorporates a number of<br />

refinements; these are sensitive detection, flexible cantilever, sharp tips, highresolution<br />

tip-sample positioning and Force feedback.<br />

Figure 2 .10 Schematic diagram of Atomic Force Microscope (AFM)<br />

(Source: AFM_schematic.gif home.agilent.com)<br />

53


Chapter-II<br />

The AFM tip is first brought (manually) close to the sample surface and<br />

then the scanner makes a final adjustment in tip–sample distance based on a<br />

set point determined by the user. The tip, now in contact with the sample<br />

surface through any adsorbed gas layer, is then scanned across the sample<br />

under the action of a piezoelectric actuator, either by moving the sample or<br />

the tip relative to the other. A laser beam aimed at the back of the cantilever–<br />

tip assembly reflects off the cantilever surface to a split photodiode, which<br />

detects the small cantilever deflections.<br />

By maintaining a constant tip-sample separation and using Hooke’s<br />

Law (F = -kx, where F is force, k is the spring constant and x is the cantilever<br />

deflection), the force between the tip and the sample is calculated. Finally, the<br />

distance the scanner moves in the z direction is stored in the computer<br />

relative to spatial variation in the x-y plane to generate the topographic image<br />

of the sample surface as shown in Figure 2.10. A special table to isolate<br />

mechanical and acoustical vibrations is also usually necessary to perform<br />

high resolution (atomic scale) work.<br />

2. B. 8 X-ray photoelectron spectroscopy (XPS)<br />

X-ray photoelectron spectroscopy (XPS) is also known as ESCA an<br />

abbreviation for Electron Spectroscopy for Chemical Analysis. XPS detects<br />

all elements with an atomic number (Z) of 3 (lithium) and above. It cannot<br />

detect hydrogen (Z = 1) or helium (Z = 2) because the diameter of these<br />

orbitals is so small, reducing the catch probability to almost zero. The XPS<br />

technique is used to investigate the chemistry at the surface of a sample. It is<br />

a quantitative spectroscopic technique that measures the elemental<br />

composition, empirical formula, chemical state and electronic state of the<br />

elements that exist within a material. XPS spectra are obtained by irradiating<br />

a material with a beam of X-rays while simultaneously measuring the kinetic<br />

energy and number of electrons that escape from the top 1 to 10 nm of the<br />

material being analyzed. XPS requires ultra high vacuum (UHV) conditions.<br />

XPS is used to measure<br />

i) Elemental composition of the surface (top 1–10 nm usually).<br />

ii) Empirical formula of pure materials.<br />

iii) Elements that contaminate a surface.<br />

54


Chapter-II<br />

iv)<br />

Chemical or electronic state of each element in the surface.<br />

v) Uniformity of elemental composition across the top surface or line<br />

vi)<br />

profiling or mapping.<br />

Uniformity of elemental composition as a function of ion beam etching<br />

or depth profiling.<br />

Also XPS routinely used to analyze<br />

inorganic compounds, metal<br />

alloys, semiconductors, polymers, elements, catalysts, glasses, ceramics,<br />

paints, papers,<br />

inks, woods, plant parts, make-up, teeth, bones, medical<br />

implants, bio-materials, viscous oils, glues, ion modified materials and many<br />

others. XPS can be performed using either a commercially built XPS system,<br />

a privately built XPS system or a synchrotron-based light source combined<br />

with a custom designed electron analyzer. Binding energy of each of the<br />

emitted electrons can be determined by using an equation<br />

E =<br />

binding<br />

E<br />

where, E binding is the binding energy of the electron, E photon is the energy<br />

of the X-ray photons being used, E kinetic is the kinetic energy of the electron<br />

as measured by the instrument and φ is the work function of the<br />

spectrometer.<br />

photon<br />

−<br />

(E<br />

kinetic<br />

+<br />

φ)<br />

(2.6)<br />

Figure 2.11 Principle of X-ray photoemission.<br />

(Source: http://www.ifw-dresden.de/institutes/ikm/organisation/dep-<br />

31/methods/x-ray-photoelectron-spectroscopy-xps) xps)<br />

55


Chapter-II<br />

Figure 2.12 Basic components of monochromatic XPS system<br />

An electron near the Fermi level is far from the nucleus, moving in<br />

different directions all over the place and will not carry information about any<br />

single atom. Fermi level is the highest energy level occupied by an electron in<br />

a neutral solid at absolute zero temperature. Electron binding energy is<br />

calculated with respect to the fermi level as shown in Figure 2.11. The core<br />

electron is close to the nucleus and have binding energies characteristic of<br />

their particular element. Detection limits typically ~ 0.1 at % and smallest<br />

analytical area ~10 µm [43]. Figure 2.12 shows basic components of<br />

monochromatic XPS system.<br />

2. B. 9 Conductivity measurement techniques<br />

a) Electrical conductivity (EC) measurements<br />

Thermoelectric materials are utilized to convert temperature gradient<br />

into electricity or to convert electricity into temperature gradient based on the<br />

Seebeck and Peltier effects is currently receiving renewed interest due to<br />

requirement of environmental protection and military applications. The<br />

electrical conductivity of the films was studied by using two point D. C. probe<br />

method. As the contact resistance of the films is very low (10-30 ohm)<br />

compared to film resistance, the two probe method is accurate and hence<br />

used for electrical conductance measurements. Figure 2.13 and Figure 2.14<br />

shows photograph and schematic diagram of the electrical conductivity<br />

measurement unit. The two brass plates of the size 10 cm x 5 cm x 0.5 cm<br />

56


Chapter-II<br />

are grooved at the centre to fix the heating elements. Two strip heaters (65<br />

Watts) were kept parallel in between these two brass plates to achieve<br />

uniform temperature. The two brass plates were then screwed to each other.<br />

The sample was mounted on the upper brass plate at the centre. To avoid the<br />

contact between the film and the brass plate, a mica sheet was placed<br />

between the film and brass plate. The area of the film was defined and silver<br />

emulsion (paste) was applied to ensure good electrical contact to the films.<br />

The working temperature erature was recorded using a Chromel-Alumel<br />

thermocouple (24 gauge) fixed at the centre of the brass plates. Testronix<br />

model - 34C (power supply unit) was used to pass the current through the<br />

sample. The potential drop across the film was measured with the help of<br />

Meco 801 digital multimeter and the current passed through the sample was<br />

noted with a sensitive 4 digit picoammeter (Scientific equipment, Roorkee<br />

DPM 111).The measurements were carried out by keeping the film system in<br />

a light tight box, which was kept at room temperature.<br />

Figure 2.13 The electrical conductivity measurement assembly<br />

57


Chapter-II<br />

Figure 2.14 Design and schematic arrangement for measurements of the<br />

conductivity.<br />

b) Thermoelectric power (TEP) measurements<br />

Fabrication of micro-thermoelectric cooling device using thin film<br />

techniques is important to enhance thermoelectric performance because films<br />

have lower thermal conductivity than those of bulk materials due to strong<br />

phonons scattering at surfaces and film-surface interface. And enhancement<br />

of density of states near the Fermi energy, giving rise to increased Seebeck<br />

coefficient. Thermoelectric power (TEP) measurement is carried out under the<br />

condition of maximum temperature difference and minimum contact<br />

resistance. Care was taken to fulfill this condition while fabricating the<br />

thermoelectric power unit Figure 2.15 and Figure 2.16. Shows photograph<br />

and schematic diagrams of the TEP measurement unit. Thermoelectric power<br />

measurement apparatus consists of two brass blocks. One brass block was<br />

used as a sample holder-cum-heater and the other brass block was kept at<br />

room temperature. The hot and cold junction was kept thermally isolated by<br />

inserting an insulated barrier between the junctions. The size of the film used<br />

in this study was 40 mm x 12.5 mm x 1.35 mm on amorphous glass<br />

substrates, were fixed on two brass blocks. Chromel– Alumel thermocouples<br />

(24 gauze) were used to sense the working temperature. A 65 watt strip<br />

heater was used for heating the sample. The temperature of the hot junction<br />

was raised slowly from room temperature, with a regular interval of 10 K and<br />

58


Chapter-II<br />

the thermoemf was noted up to the highest temperature of 500 K. Silver paste<br />

contacts were made to deposited films with copper wire.<br />

Figure 2.15 The thermoelectric power measurement assembly.<br />

Figure 2.16 Design and schematic arrangement for measurements of the<br />

thermoelectric power<br />

A backellite box was used for proper shielding of the TEP unit, which<br />

also minimises thermal radiation losses to some extent. The mean<br />

temperature was measured with a Meco 801 digital multimeter while the<br />

59


Chapter-II<br />

differential thermal gradient and thermoelectric voltage were measured with<br />

digital Testronix - 8 microvoltmeter [44-47].<br />

c) Thermal conductivity measurement<br />

Thermal conduction is the spontaneous transfer of thermal energy<br />

through matter, from higher temperature to lower temperature region. The<br />

most studied thermoelectric materials are bismuth and antimony<br />

chalcogenides and this family of materials has been the backbone of the<br />

thermoelectric industry over the past four decades. Bi 2 Te 3 with Bi 2 Se 3 alloys<br />

have been an attractive thermoelectric material widely used in cooling devices<br />

and proposed for energy conversion applications at room temperature [48,49].<br />

The performance of thermoelectric materials depends on the<br />

thermoelectric figure of merit (ZT) of the material. Recently progress has been<br />

made in improving the figure of merit of thin film. It is approximately one for<br />

bismuth telluride at room temperature. Bismuth telluride represents the parent<br />

compound of a family of technologically important semiconductor alloys that<br />

are used extensively in modern thermoelectric coolers [50-53]<br />

The efficiency of thermoelectric material is given by a quantity called<br />

the figure of merit, defined as Z= S 2 σ/к, where S is the thermoelectric power,<br />

σ is the electrical conductivity and к is thermal conductivity. To maximize<br />

figure of merit Z, the challenge lies in achieving simultaneously high<br />

thermoelectric power, high electrical conductivity, and low thermal<br />

conductivity. Many research groups are looking for new materials with better<br />

figure of merit and compatible with microelectronic devices. In the last few<br />

years a significant improvement in material properties was reported [54-59].<br />

• Thermal conductivity meter (CT meter)<br />

Thermal conductivity was measured by different types of probes,<br />

namely cylindrical probe, two wire probe, Iron probe and ring probe. In our<br />

experiment ring probe method is used to measure commercial thermal<br />

conductivity [CT meter (Teleph, France)] at room temperature. The CT meter<br />

uses the general concept of the method and the model for the determination<br />

of thermal conductivity. General scheme of CT meter is represented in<br />

60


Chapter-II<br />

Figure 2.17. Thermal conductivity is automatically determined by means of the<br />

control unit computer as shown in Figure 2. 18.<br />

Figure 2.17 General scheme of CT meter<br />

Figure 2.18 Thermal conductivity measurement unit CT-meter<br />

61


Chapter-II<br />

References<br />

[1] B. O’Regan, M. Gra’tzel, Nature, 353 (1991) 737.<br />

[2] P. K. Mahapatra, B. B. Panda, Chalcogenide Letters, 7 (2010) 477.<br />

[3] J.George,“Preparation of <strong>Thin</strong> <strong>Film</strong>s”,Marcel Dekker,Inc.,New York<br />

(1992).<br />

[4] K. L. Chopra, “<strong>Thin</strong> <strong>Film</strong> Phenomena”, McGraw Hill, New York, (1969).<br />

[5] S. Mohan, “Proc. Advanced Course on <strong>Thin</strong> <strong>Film</strong> Processing”,<br />

Instrumentation and services unit, I. I. Sc. Bangalore, India (1994).<br />

[6] Ohring, Milton "Materials Science of <strong>Thin</strong> <strong>Film</strong>s: <strong>Deposition</strong> and<br />

Structure" 2nd Edition Elsevier, Inc. (2002).<br />

[7] L. I. Maissel and R. Glange, Eds, Handbook of <strong>Thin</strong> <strong>Film</strong> Technology,<br />

Mac Graw-Hill, New York, (1970).<br />

[8] Mahan, John E. "Physical Vapor <strong>Deposition</strong> of <strong>Thin</strong> <strong>Film</strong>s" John Wiley<br />

and Sons (2000).<br />

[9] Mattox, Donald M. "Handbook of Physical Vapor <strong>Deposition</strong> (PVD)<br />

Processing” Noyes Publications (1998).<br />

[10] Mattox, M. Donald "The Foundations of Vacuum Coating Technology"<br />

Noyes Publications (2003).<br />

[11] Mattox, M. Donald and Vivienne Harwood Mattox (editors) "50 Years<br />

Of Vacuum Coating Technology and the Growth of the Society of<br />

Vacuum Coaters", Society of Vacuum Coaters (2007).<br />

[12] L. J. Durney, Electroplating Engineering Handbook, 4th edition, Van<br />

Nostrand Reinhold Company, (1984) 364.<br />

[13] I. Yagi, K. Kakizawa, K. Murakami and S. Kaneko, J. Ceram. Soc. Jap.,<br />

102 (1994) 296.<br />

[14] R. P. Sharma, S. V. Patil, S. V. Bhavsar, A. R. Patil, L Dori. Indian J.<br />

Pure. Appl. Phys., 37 (1999) 876.<br />

[15] A. Aoki and G. Nogami, J. Electrochem. Soc.,143 (1996) 191.<br />

[16] H. Kim, C. Kim, S.Kim, J. Non- Cryst. Solids,352 (2006) 2204.<br />

[17] P. S. Patil, E. A. Ennaoui, C. D. Lokhande, M. Muller, M.Giersig, K.<br />

Diesner and H. Tributsch, <strong>Thin</strong> Solid <strong>Film</strong>s, 310 (1997) 57.<br />

[18] C. H. Chem, A. A. J. Buysman, E. M. Kelder and J. Schoonman, Solid<br />

State Ionics, 80 (1995) 1.<br />

62


Chapter-II<br />

[19] A. G. Valyomana, S. Mathew, K. P. Vijaykumar, C. Purushottam, Bull.<br />

Mater. Sci., 16 (1993) 55.<br />

[20] A. I. Y. Tok, F.Y. C. Boey, X. L. Zhao, J. Mater. Proce. Techn., 178<br />

(2006) 270.<br />

[21] N. S. Patil, A. M. Sargar, S. R. Mane, P. N. Bhosale, J. Appl. Surf. Sci.,<br />

254 (2008) 5261.<br />

[22] R. K. Mane, B. D. Ajalkar, P. N. Bhosale, Ind. J. Pure and Appl. Phys. ,<br />

40 (2002) 660.<br />

[23] R. M. Mane, S. R. Mane, R. R.Kharade, P. N. Bhosale, J. Alloys and<br />

Comp., 49 (2010) 1321.<br />

[24] R. K. Mane, B. D. Ajalkar, P. N. Bhosale, J. Mater. Chem. and Phy.,84<br />

(2004) 247.<br />

[25] B. D. Ajalkar, R. K. Mane, B. D. Sarwade, P. N. Bhosale,Sol. Energy<br />

Mater. Sol. Cells, 81(2004) 101.<br />

[26] R. M. Mane, R. R. Kharade, S. R. Mane, P. S. Patil, P. N. Bhosale,<br />

Digest Journal Nanomaterials and Biostructures,6 (2011) 55.<br />

[27] Y. G. Gudage, N.G. Deshpande, A. A. Sagade, R. P. Sharma, S. M.<br />

Pawar, C. H. Bhosale , J. Bull. Mater. Sci., 30 (2007) 4321.<br />

[28] Penkove(Ed.)Optical Proc.inSemicond.Prentice-Hall,Inc,(1971) 34.<br />

[29] Fewster, F. Paul, “X-Ray Scattering from Semiconductors” (2nd<br />

Edition) Imperial College Press, London, (2003).<br />

[30] K. Shankland, “Structure Determination from Powder Diffraction Data”,<br />

Oxford University Press, UK, Oxford, GBR, (2006).<br />

[31] G.V. Pavlinsky, “Fundamentals of X-Ray Physics”, Cambridge<br />

International Science, Cambridge (2008).<br />

[32] B.B.He, “Two-Dimensional X-Ray Diffraction”, Wiley, New York, (2009).<br />

[33] McMorrow, Des Als-Nielsen, Jens, and “Elements of Modern X-ray<br />

Physics” (2 nd Edition), Wiley, New York, (2011).<br />

[34] V.Bilgin,I.Akyuz,S.Kose, F.Atay,Semicond. Sci.Technol.,21 (2006) 579.<br />

[35] G. D. Danilatos, "Foundations of environmental scanning electron<br />

microscopy". Advances in Electronics and Electron Physics 71:<br />

109. (1988).<br />

[36] Manfred von Ardenne, Das Elektronen-Rastermikroskop Theoretische<br />

Grundlagen,Zeitschrift fur Physik A Hadrons and Nuclei,109(1939) 553.<br />

63


Chapter-II<br />

[37] Oatley CW, Nixon WC, Pease RFW: Scanning electron microscopy.<br />

Adv. Electronics Electron Phys., 21(1965)181.<br />

[38] J. I. Goldstein et al., Scanning electron microscopy and x-ray<br />

microanalysis, 1 (2003) ISBN 0306472929.<br />

[39] G. Binnig, H.Rohrer,C.Gerber,E.Weibel, Phys. Rev. Lett., 49 (1982) 57.<br />

[40] G. Binnig, C. F. Quate, C. Gerber, Phys. Rev. Lett., 56 (1986) 930.<br />

[41] Y. Roiter, S. Minko, J. Am. Chem. Soc., 127 (2005) 15688.<br />

[42] Y. Sugimoto, P. Pou, M. Abe, P. Jelinek, R. Perez, S. Morita, O.<br />

Custance, Nature,, 446 (2007) 64.<br />

[43] J. F. Watts and J. Wolstenholme, An Introduction to Surface Analysis<br />

by XPS and AES, John Wiley and Sons, Ltd., Chichester, UK (2005).<br />

[44] S. C. Liufu, Li. D. Chen, Q. Yao, C. F. Wang, Appl. Phys. Lett., 90<br />

(2007) 112106.<br />

[45] Dong-Ho Kim, Gun-Hwan Lee, Mater. Sci. Eng. B, 131 (2006)106.<br />

[46] Z. Xiao, R. L. Zimmerman, L. R. Holland, B. Zheng, C. I. Muntele, D.<br />

Ila, Nuclear Instru. Methods Phy. Rese. B, 242 (2006) 201.<br />

[47] N. Keawprak, Z. M. Sun, H. Hashimoto, M. W. Barsoum, J. Alloys<br />

Compds., 397(2005) 236.<br />

[48] E. Dashjav,A. Szczepenowska,H. Kleinke, Mater.Chem.,12 (2002)345.<br />

[49] L. E. Shelimova, O. G. Karpinskii, P. P. Konstantinov, M. A. Kretova, E.<br />

S. Avilov, V. S. Zemskov, J. Inorg. Mater., 37 (2001) 342.<br />

[50] T. Kyratsi, J. S. Dyck, W. Chen, D. Y. Chung, C. Uher, K. M.<br />

Paraskevopoulos, M. G. Kanatzidis, J. Appl. Phys., 92 (2002) 965.<br />

[51] F. Volklein, G. Min, D. M. Rowe, Sens. Actuat. A, 75 (1999) 95.<br />

[52] H .Goldsmid, Introduction to thermoelectricity, London, (1978).<br />

[53] A. F. Ioffe, Semiconductors Thermoelements and Thermoelectric<br />

Cooling, Infosearch Ltd., London, (1957).<br />

[54] W. M. Yim, F. D. Rosi, Solid State Electron,15 (1972)1121.<br />

[55] R. Venkatsubramanian, E. Slivola, T. Colpitts, B. O’Quinn, Nature, 413<br />

(2001) 597.<br />

[56] K. F. Hasu, S. Loo, F. Guo, W. Chen, J. S. Dyck, C. Uher, T. Hogan, E.<br />

K. Polychroniadis, M. G. Kanatzidis, Science, 303 (2004) 818.<br />

64

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!