04.01.2015 Views

CR1000 Manual - Campbell Scientific

CR1000 Manual - Campbell Scientific

CR1000 Manual - Campbell Scientific

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Appendix A. CRBasic Programming Instructions<br />

BrFull6W<br />

Measures ratio of V diff2 / V diff1 of a six‐wire full‐bridge. Reports 1000 * (V diff2 /<br />

V diff1 ).<br />

Syntax<br />

BrFull6W(Dest, Reps, Range1, Range2, DiffChan, Vx/ExChan,<br />

MeasPEx, ExmV, RevEx, RevDiff, SettlingTime, Integ, Mult,<br />

Offset)<br />

BrHalf<br />

Measures single‐ended voltage of a three‐wire half‐bridge. Delay is optional.<br />

Syntax<br />

BrHalf(Dest, Reps, Range, SEChan, Vx/ExChan, MeasPEx, ExmV,<br />

RevEx, SettlingTime, Integ, Mult, Offset)<br />

BrHalf3W<br />

Measures ratio of R s / R f of a three‐wire half‐bridge.<br />

Syntax<br />

BrHalf3W(Dest, Reps, Range, SEChan, Vx/ExChan, MeasPEx, ExmV,<br />

RevEx, SettlingTime, Integ, Mult, Offset)<br />

BrHalf4W<br />

Measures ratio of R s / R f of a four‐wire half‐bridge.<br />

Syntax<br />

BrHalf4W(Dest, Reps, Range1, Range2, DiffChan, Vx/ExChan,<br />

MeasPEx, ExmV, RevEx, RevDiff, SettlingTime, Integ, Mult,<br />

Offset)<br />

A.5.5 Excitation<br />

ExciteV<br />

This instruction sets the specified switched‐voltage excitation channel to the<br />

voltage specified.<br />

Syntax<br />

ExciteV(Vx/ExChan, ExmV, XDelay)<br />

SW12<br />

Sets a switched 12‐Vdc terminal high or low.<br />

Syntax<br />

SW12(State)<br />

A.5.6 Pulse and Frequency<br />

Read More! See Pulse (p. 312).<br />

Note Pull-up resistors are required when using digital I/O (control) ports for<br />

pulse input (see Pulse Input on Digital I/O Channels C1 - C8 (p. 315) ).<br />

PeriodAvg<br />

Measures the period of a signal on any single‐ended voltage input channel.<br />

Syntax<br />

PeriodAvg(Dest, Reps, Range, SEChan, Threshold, PAOption,<br />

Cycles, Timeout, Mult, Offset)<br />

485

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!