01.12.2014 Views

Manual 3060 System - SimonsVoss technologies

Manual 3060 System - SimonsVoss technologies

Manual 3060 System - SimonsVoss technologies

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Manual</strong> – Digital locking cylinder 3061<br />

Page 12<br />

3.3 Overlay mode<br />

This mode applies to the complete locking system and needs to be selected during the<br />

system's design phase. Replacement transponders can overwrite the original<br />

transponders. The first use of a replacement transponder will overwrite and block the<br />

original transponder.<br />

3.4 Extended activation<br />

The cylinder's external or internal knob will engage for approx. 5 seconds as a<br />

default value. The software allows the time to be extended to 10 seconds. This<br />

does not shorten the battery life.<br />

3.5 OMRON<br />

Every product version is operable in OMRON mode. You should select this option for<br />

both the Smart Relay and the cylinder if you want the Smart Relay to transmit<br />

transponder data to a different system and subsequently send a remote opening<br />

command to a cylinder on approval by the other system.<br />

Attention: Cylinders using this configuration cannot be opened by a transponder.<br />

Please refer to the "Smart Relay" manual for a detailed description.<br />

3.6 Warehouse mode<br />

Locking cylinders are delivered in warehouse mode to preserve battery power. Locking<br />

cylinders in warehouse mode cannot be addressed by a transponder. The warehouse<br />

mode is deactivated when the locking cylinder is programmed for the first time. It is<br />

also possible to deactivate the warehouse mode using the programming software<br />

without creating a locking plan.<br />

For warehouse mode after battery warning level 2: see chapter 6.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!