31.10.2014 Views

40nm...40 Nanometer - UMC

40nm...40 Nanometer - UMC

40nm...40 Nanometer - UMC

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Low Power Design Support<br />

Front-end design<br />

Low leakage<br />

process<br />

Power<br />

gating<br />

Multi Vth<br />

Multi VDD<br />

Low power<br />

synthesis<br />

Clock<br />

gating<br />

Voltage and<br />

frequency scaling<br />

Body bias<br />

Back-end design<br />

80%<br />

60% 40% 20% 20% 40% 60% 80%<br />

Leakage Power Saving<br />

Dynamic Power Saving<br />

<strong>UMC</strong> Reference Design Flow<br />

<strong>UMC</strong> Reference Design Flow provides a design<br />

methodology and flow validated with a<br />

“Leon2” system demonstration board. The flow<br />

incorporates 3rd-party EDA vendors’ baseline<br />

design flows to address issues such as timing<br />

closure, signal integrity, leakage power and design<br />

for manufacturability and adopts a hierarchical<br />

design approach built upon silicon validated<br />

process libraries. <strong>UMC</strong> Reference Design Flow<br />

covers from RTL coding all the way to GDS-II<br />

generation and supports Cadence, Magma,<br />

Mentor and Synopsys EDA tools. All of these tools<br />

can be interchanged for added flexibility.<br />

I/O & Memory<br />

Simulation View<br />

Timing View<br />

Timing Constraint &<br />

DFT Requirements<br />

Cell Function, Area,<br />

Timing & Power View<br />

Physical & Noise View<br />

DRC/LVS<br />

Rule Deck<br />

Product Definition/Spec & Tech-dependent Setting<br />

RTL Coding & Simulation<br />

Logic Synthesis<br />

Static Timing Analysis & Gate-level Simulation<br />

Floorplan & Partition<br />

Block & Top Implementation<br />

Physical Verification<br />

Tape-out

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!