01.06.2014 Views

STM32W108C8

STM32W108C8

STM32W108C8

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>STM32W108C8</strong><br />

12.1.1 Non-maskable interrupt (NMI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176<br />

12.1.2 Faults . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177<br />

12.2 Event manager . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177<br />

12.3 Nested vectored interrupt controller (NVIC) interrupts . . . . . . . . . . . . . . 181<br />

12.3.1 Top-level set interrupts configuration register (INT_CFGSET) . . . . . . 181<br />

12.3.2 Top-level clear interrupts configuration register (INT_CFGCLR) . . . . . 182<br />

12.3.3 Top-level set interrupts pending register (INT_PENDSET) . . . . . . . . . 183<br />

12.3.4 Top-level clear interrupts pending register (INT_PENDCLR) . . . . . . . . 184<br />

12.3.5 Top-level active interrupts register (INT_ACTIVE) . . . . . . . . . . . . . . . . 185<br />

12.3.6 Top-level missed interrupts register (INT_MISS) . . . . . . . . . . . . . . . . . 186<br />

12.3.7 Auxiliary fault status register (SCS_AFSR) . . . . . . . . . . . . . . . . . . . . . 187<br />

13 Debug support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188<br />

13.1 STM32W108 JTAG TAP connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189<br />

14 Electrical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.1 Parameter conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.1.1 Minimum and maximum values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.1.2 Typical values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.1.3 Typical curves . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.1.4 Loading capacitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.1.5 Pin input voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190<br />

14.2 Absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191<br />

14.3 Operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192<br />

14.3.1 General operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192<br />

14.3.2 Operating conditions at power-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192<br />

Power-on resets (POR HV and POR LV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .192<br />

NRST pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193<br />

14.3.3 Absolute maximum ratings (electrical sensitivity) . . . . . . . . . . . . . . . . 193<br />

Electrostatic discharge (ESD). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193<br />

Static latch-up. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .194<br />

14.4 ADC characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194<br />

14.5 Clock frequencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199<br />

14.5.1 High frequency internal clock characteristics . . . . . . . . . . . . . . . . . . . . 199<br />

14.5.2 High frequency external clock characteristics . . . . . . . . . . . . . . . . . . . 199<br />

14.5.3 Low frequency internal clock characteristics . . . . . . . . . . . . . . . . . . . . 199<br />

14.5.4 Low frequency external clock characteristics . . . . . . . . . . . . . . . . . . . . 200<br />

Doc ID 018587 Rev 2 8/215

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!