02.02.2014 Views

05 Arithmetic in VHDL

05 Arithmetic in VHDL

05 Arithmetic in VHDL

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Arithmetic</strong> Package Functions- I<br />

• For a detailed list of functions (and their operations) see<br />

the program list<strong>in</strong>g from ‘NUMERIC_STD.VHD’ this is<br />

the official IEEE package<br />

• How do I read the package header ?<br />

• Consider the function Id: A.6<br />

function “+” (L: UNSIGNED; R: NATURAL) return UNSIGNED<br />

UNSIGNED + NATURAL = UNSIGNED<br />

117

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!