16.01.2014 Views

MEMS + - I-Micronews

MEMS + - I-Micronews

MEMS + - I-Micronews

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Reverse engineering & costing of<br />

a family of <strong>MEMS</strong> microphones<br />

Analysis of each device, technology and production<br />

cost comparison


Objectives of the action<br />

• A complete reverse engineering & costing of each selected <strong>MEMS</strong><br />

microphone<br />

• For each device, definition of the following points:<br />

• Process flow<br />

• Manufacturing environment (front end and back end)<br />

• Manufacturing cost of <strong>MEMS</strong> and ASIC dies<br />

• Packaging<br />

• Analysis and comparison on the devices in term of:<br />

• Technical/processing choices for the front end<br />

• Technical/processing choices for the packaging<br />

• Production and cost infrastructure<br />

• Comparison of the devices and analysis of trends detected<br />

• Phone meeting and Q&A session in order to answer questions on<br />

the analysis done.<br />

© 2012 • 2


Benefits<br />

• Unique and complete offer on the latest <strong>MEMS</strong> microphones (teardown,<br />

reverse costing analysis, technical & market comparison…, Q&A session)<br />

• Discover the technology used by the main <strong>MEMS</strong> microphone suppliers<br />

(Knowles, Akustica, STM…)<br />

• Full teardown of the latest technologies on the market especially the<br />

• Akustica AKU230<br />

• EPCOS T4000/T4060<br />

• Package price for 3 to 6 reports of your choice, among the different part<br />

reference<br />

• Unique comparative analysis of the technology and choices leading to<br />

these results.<br />

• Q&A session to discuss and explain the results<br />

© 2012 • 3


Already Analyzed <strong>MEMS</strong> Microphones<br />

AAC Acoustic<br />

SM0401<br />

Akustica AKU230<br />

Analog Devices<br />

ADMP421<br />

EPCOS T4000/T4060<br />

Knowles<br />

SPU0409/SPU0410<br />

ST MP45DT01<br />

© 2012 • 4


Typical Table of Contents<br />

Glossary<br />

1. Overview / Introduction……….……………….…........…4<br />

– Executive Summary<br />

– Reverse Costing Methodology<br />

2. Knowles Company Profile…………………….……....….6<br />

– Knowles Profile<br />

– <strong>MEMS</strong> Microphone Portfolio<br />

– SPU0410LR5H Characteristics<br />

– Knowles Business Model<br />

4. Physical Analysis………………………...………............12<br />

– Synthesis of the Physical Analysis<br />

– Physical Analysis Methodology<br />

– Package Characteristics & Markings<br />

– Package Pin-Out<br />

– Package Cross-Section<br />

– Device Structure<br />

– Package Opening<br />

– ASIC Dimensions<br />

– ASIC Markings<br />

– ASIC Cross-Section<br />

– ASIC Delayering<br />

– ASIC Process Characteristics<br />

– <strong>MEMS</strong> Dimensions<br />

– <strong>MEMS</strong> Markings<br />

– <strong>MEMS</strong> Surface<br />

– <strong>MEMS</strong> Structure<br />

– <strong>MEMS</strong> Cross-section<br />

– <strong>MEMS</strong> Process Characteristics<br />

– Physical Data Summary<br />

5. Manufacturing Process Flow…….…………………..….55<br />

– Global Overview<br />

– ASIC Process Flow<br />

– Description of the ASIC Wafer Fabrication Unit<br />

– <strong>MEMS</strong> Process Flow<br />

– Description of the <strong>MEMS</strong> Wafer Fabrication Unit<br />

– Packaging Process Flow<br />

– Description of the Packaging Unit<br />

6. Cost Analysis………………………….………………..…..70<br />

– Synthesis of the Cost Analysis<br />

– Main Steps of Economic Analysis<br />

– Yields Explanation<br />

– Yields Hypotheses<br />

– Die per wafer & Probe Test<br />

– ASIC Front-End : Hypotheses<br />

– ASIC Front-End Cost<br />

– ASIC Back-End 0 : Probe Test, Backgrinding & Dicing<br />

– ASIC Die Cost<br />

– <strong>MEMS</strong> Front-End : Hypotheses<br />

– <strong>MEMS</strong> Front-End Cost<br />

– <strong>MEMS</strong> Front-End Cost per Process Steps<br />

– <strong>MEMS</strong> Front-End : Equipment Cost per Family<br />

– <strong>MEMS</strong> Front-End : Material Cost per Family<br />

– <strong>MEMS</strong> Back-End 0 : Probe Test & Dicing<br />

– <strong>MEMS</strong> Die Cost (Front End + Back End 0)<br />

– Back-End 1 : Packaging Cost<br />

– Back-End 1 : Final test & Calibration Cost<br />

– SPU0410LR5H Component Cost (FE + BE 0 + BE 1)<br />

7. Estimated Price Analysis….………………….……..……95<br />

– Definition of Prices<br />

– Manufacturer Financial Ratios<br />

– SPU0410LR5H Estimated Manufacturer Price<br />

Contact…….……………………………………………………99<br />

© 2012 • 5


Visualization of the Die Placement<br />

(Package X-Ray)<br />

Package X-Ray<br />

Metal Cap<br />

PCB substrate<br />

2 ML<br />

X-Ray image of the PCB package (4 copper layers)<br />

The package is composed of 2 parts which are :<br />

• The PCB Substrate is a double-sided PCB (2 metal layers)<br />

• The Metal Cap is a stainless steel cap.<br />

ASIC<br />

Acoustic<br />

<strong>MEMS</strong><br />

ASIC<br />

Acoustic<br />

<strong>MEMS</strong><br />

© 2012 • 6<br />

X-Ray image of the PCB package<br />

X-Ray image of the top


Analysis of Die-Package Interconnexion<br />

(Package Opening)<br />

Silver-filled<br />

adhesive<br />

Solder<br />

Mask<br />

CMOS/<strong>MEMS</strong><br />

Chip<br />

Acoustic port<br />

Package Bottom portion – Optical view<br />

Package Top portion – Optical view<br />

© 2012 • 7


Analysis of Die-Package Interconnexion<br />

(Package Opening)<br />

<strong>MEMS</strong><br />

ASIC<br />

Package Opening – Optical top view<br />

Bonding material: Gold<br />

Bonding diameter: 20µm<br />

© 2012 • 8<br />

Bonding number: 5<br />

Between ASIC & Package: 3<br />

Between <strong>MEMS</strong> & ASIC: 2


Details of the <strong>MEMS</strong> Structure<br />

(package cross-section)<br />

Diaphragm<br />

Metal lid<br />

Glob-top<br />

Cavity<br />

<strong>MEMS</strong><br />

ASIC<br />

4 ML<br />

Package Cross-Section Overview<br />

The package is composed of:<br />

• A 4-layers PCB substrate (epoxy resin reinforced fiberglass (FR-4 PCB) and<br />

conductive copper layers (ML)) with embedded capacitor.<br />

• A metal lid (brass – copper/zinc alloy)<br />

© 2012 • 9


Visualization of the Package Structure<br />

(Cross-Section)<br />

Diaphragm<br />

2 ML<br />

<strong>MEMS</strong><br />

Glob-top<br />

2 ML<br />

Cavity<br />

ASIC<br />

4 ML<br />

Package Cross-Section Overview<br />

The package is composed of three portions which are formed from layers of epoxy resin<br />

reinforced fiberglass (FR-4 PCB) and conductive copper layers (ML).<br />

• The top portion is a double-sided PCB (2 metal layers)<br />

• The side portion is a double-sided PCB (2 metal layers)<br />

• The bottom portion is a 4-layers PCB (4 metal layers)<br />

© 2012 • 10


Definition of Package Materials<br />

• The top portion consists<br />

of an epoxy with Aluminum<br />

Calcium Silicate filler.<br />

•The conductive adhesive is<br />

an epoxy with Silver filler.<br />

•The non-conductive adhesive<br />

consists of an epoxy<br />

with Silicon filler.<br />

© 2012 • 11<br />

EDX Spectrum


Review of IC Technology<br />

(ASIC Transistor Details)<br />

Capacitor poly to poly<br />

ASIC die<br />

© 2012 • 12<br />

Resistor<br />

CMOS transistors


1.3 mm<br />

Visualization of Microphone Dimensions<br />

(<strong>MEMS</strong> die overview)<br />

<strong>MEMS</strong> area: 1.82 mm²<br />

1.4mm x 1.3mm<br />

<strong>MEMS</strong> thickness : 510µm<br />

Pads number : 2 connected<br />

to ASIC<br />

1.4mm<br />

© 2012 • 13


Review of the <strong>MEMS</strong> process<br />

(<strong>MEMS</strong> Surface)<br />

The cavity of the <strong>MEMS</strong> die is two-times<br />

etched by DRIE.<br />

<strong>MEMS</strong> Cavity – SEM View<br />

DRIE etching<br />

<strong>MEMS</strong> Cavity – SEM View<br />

© 2012 • 14


Analysis of Microphone Dimensions – A5<br />

Pad to connect the diaphragm with the bonding<br />

Details on the<br />

contact<br />

© 2012 • 15


Detailed Analysis of Microphone<br />

Pitch : 24µm<br />

Diameter : 19µm<br />

© 2012 • 16<br />

Acoustic Hole


Materials Analysis (Cross-Section details)<br />

Diaphragm in<br />

poly-silicon<br />

Back plate in<br />

poly-silicon<br />

SEM view : Cross-section<br />

Isolation oxide layer : 0,6µm<br />

Diaphragm polysilicon layer : 0,344µm<br />

Sacrificial oxide layer : 2,1µm<br />

Back Plate : 1,46µm<br />

Passivation oxide layer : 1,02µm<br />

Passivation nitride layer : 1,1µm<br />

The passivation oxide layer is not etched during the sacrificial<br />

etching step. It must be protected.<br />

© 2012 • 17


Review of the <strong>MEMS</strong> Process Flow<br />

Pedestal in resin<br />

•Deposition of resin on the top<br />

surface to protect them and to<br />

perform the pedestral.<br />

•DRIE etching of the cavity.<br />

Resin mask.<br />

•The buried oxide layer is<br />

etched.<br />

•The sacrificial poly-silicon layer<br />

is etched.<br />

© 2012 • 18


Review of the <strong>MEMS</strong> Process Flow<br />

Oxide<br />

(PSG)<br />

Silicon<br />

substrate<br />

Poly 1<br />

(Diaphragm)<br />

Poly 2<br />

(Backplate<br />

electrode)<br />

SiN<br />

(Backplate)<br />

© 2012 • 19


Analysis of <strong>MEMS</strong> Front-End Cost<br />

Low Yield Medium Yield High Yield<br />

<strong>MEMS</strong> Front-End<br />

Cost Breakdown Cost Breakdown Cost Breakdown<br />

Raw wafer (Si) $42.00 12.2% $42.00 12.3% $42.00 12.5%<br />

Clean Room $11.89 3.5% $11.89 3.5% $11.89 3.5%<br />

Equipment $68.30 19.9% $68.30 20.1% $68.30 20.3%<br />

Consumable $161.40 46.9% $161.40 47.4% $161.40 47.9%<br />

Salary $39.69 11.5% $39.69 11.7% $39.69 11.8%<br />

Yield losses $20.64 6.0% $17.02 5.0% $13.47 4.0%<br />

<strong>MEMS</strong> Front-End Cost $343.92 100% $340.30 100% $336.76 100%<br />

<strong>MEMS</strong> Front-End Cost Breakdown (Middle Yield)<br />

Salary<br />

12%<br />

Yield losses<br />

5%<br />

• The <strong>MEMS</strong> Front-end manufacturing cost<br />

ranges from $344 to $337 according to<br />

yield variations.<br />

Consumable<br />

47%<br />

Raw wafer (Si)<br />

12%<br />

Clean Room<br />

4%<br />

•The main part of the manufacturing cost<br />

is due to the consumables with 47%.<br />

Equipment<br />

20%<br />

© 2012 • 20


Analysis of <strong>MEMS</strong> Front-End : Material Cost<br />

by Family<br />

Material Name<br />

Material<br />

Cost<br />

Breakdown<br />

H2 $0.00 0.0%<br />

Etchant: NiCr $0.01 0.0%<br />

Etchant: Au $0.02 0.0%<br />

UPW $0.10 0.1%<br />

O2 $0.15 0.1%<br />

Ar $0.19 0.1%<br />

Cl2 $0.38 0.2%<br />

BHF $0.43 0.3%<br />

Target: NiCr $0.57 0.4%<br />

N2 $0.92 0.6%<br />

DCS $1.34 0.8%<br />

Target: Au $1.39 0.9%<br />

BCl3 $1.89 1.2%<br />

Slurry Si $3.00 1.9%<br />

SF6 $3.49 2.2%<br />

Power $3.63 2.3%<br />

NH3 $4.00 2.5%<br />

EtOH $5.50 3.4%<br />

PH3 $7.55 4.7%<br />

Developer $7.92 4.9%<br />

SC-1 $8.54 5.3%<br />

HF vapor $11.10 6.9%<br />

SiH4 $16.59 10.3%<br />

SC-2 $17.08 10.6%<br />

Photoresist $21.59 13.4%<br />

C4F8 $44.00 27.3%<br />

TOTAL $161.40 100.0%<br />

<strong>MEMS</strong> Materials Cost<br />

(Simulated with <strong>MEMS</strong> CoSim+<br />

Cost Simulation Tool)<br />

© 2012 • 21<br />

Details of the equipment cost per step are given in the Excel<br />

Spreadsheet.


Results<br />

• Reverse costing analysis represents the best cost/price evaluation given<br />

the publically available data, completed with industry expert estimates.<br />

• Given the hypothesis presented in this analysis the major sources of<br />

correction would lead to a +/- 10% correction on the manufacturing cost (if all<br />

parameters are cumulated)<br />

• IC +/- 8%<br />

• <strong>MEMS</strong> +/- 5%<br />

• Packaging +/- 10%<br />

• Test +/- 20%<br />

• These results are open for discussion and can be re-evaluated with new<br />

information, if necessary.<br />

© 2012 • 22


Budget<br />

• Budget for the reverse engineering and reverse<br />

costing of 3 to 6 devices and the comparison of<br />

technologies, manufacturing cost and<br />

infrastructure:<br />

• 6 devices: 13,000 Euro<br />

• 5 devices: 11,300 Euro<br />

• 4 devices: 9,500 Euro<br />

• 3 devices: 7,300 Euro<br />

© 2012 • 23


Added Value (1/2)<br />

• Yole Developpement is a market research, technology and strategy<br />

consulting company, founded in 1998 headquarted in Lyon, France. Our<br />

research is performed by in-house personnel conducting open-ended<br />

discussions based on interviews. We have a global customer base and a<br />

global view.<br />

Yole Développement is providing unique technical and supply & value<br />

chain analysis in order to understand the evolution of the industry and<br />

take good decision. Yole Développement has 25+ full time analysts with<br />

technical and marketing degrees and our primary research including over<br />

3,500 interviews per year. Additional to custom market & technology<br />

analysis, we also provide reports on technology, application or market as<br />

well as industry database in <strong>MEMS</strong>, Compound semiconductors, Power<br />

Electronics, LED, Advanced Packaging, PV…<br />

© 2012 • 24


Added Value (2/2)<br />

• System Plus Consulting provides reverse costing services for electronic<br />

boards, IC and <strong>MEMS</strong> devices since 1993. The company has developed specific<br />

methodologies that from reverse engineering to the estimation of the<br />

manufacturing costs and selling prices, provides an accurate, fast and yet very<br />

cost effective service.<br />

System Plus Consulting customers use its services all across the value chain to:<br />

- understand the cost structure of future products and secure their supplies<br />

- monitor competition, understand new technologies impact on their business<br />

System Plus Consulting mostly provides its services through custom services on<br />

samples of products to analyze, but also publishes a number of reports on<br />

strategic devices and systems. Its expertise can also be accessed through software<br />

solutions and databases that the company has built to support its own<br />

methodologies. These software solutions are used by external costing teams and<br />

internal reverse costing analysts.<br />

© 2012 • 25

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!