01.11.2013 Views

Jahresbericht 2012 (PDF 6.7 MB) - IHP Microelectronics

Jahresbericht 2012 (PDF 6.7 MB) - IHP Microelectronics

Jahresbericht 2012 (PDF 6.7 MB) - IHP Microelectronics

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Annual Report<br />

<strong>2012</strong>


Annual Report <strong>2012</strong><br />

A n n u a l R e p o r t 2 0 1 2


V o r w o r t – F o r e w o r d<br />

Prof. Dr. Wolfgang Mehr<br />

Liebe Leserinnen und Leser,<br />

mit dem vorliegenden <strong>Jahresbericht</strong> erhalten Sie einen<br />

Überblick über die Forschungsprogramme des <strong>IHP</strong> sowie<br />

Einblick in ausgewählte wissenschaftliche Ergebnisse<br />

des Jahres <strong>2012</strong>.<br />

Dear Friends and Readers,<br />

With this annual report you get an overview of <strong>IHP</strong>‘s<br />

research topics as well as insight into selected scientific<br />

results of the year <strong>2012</strong>.<br />

Wichtige strategische Elemente des <strong>IHP</strong> sind: die Fokussierung<br />

auf siliziumbasierte Schaltkreise und Systeme<br />

für Hochgeschwindigkeitsanwendungen, seine vollständige<br />

Wertschöpfungskette von der Materialforschung<br />

über die Technologie bis hin zu konkreten Anwendungen,<br />

eine außerordentlich enge Kooperation mit Hochschulen<br />

und die Möglichkeit, auf Basis seiner Pilotlinie industrierelevante<br />

Prototypen herzustellen.<br />

Essential strategic elements of <strong>IHP</strong> are: silicon-based<br />

circuits and systems for high-frequency applications,<br />

its complete value-added chain from materials research<br />

over technology up to specific applications,<br />

an exceptionally close cooperation with universities,<br />

and the capability of preparing industry-relevant prototypes<br />

in the pilot line.<br />

Mehr als 50 Prozent aller Innovationen weltweit basieren<br />

auf anwendungsspezifischen integrierten Schaltkreisen<br />

und Systemen. Auf diesen Gebieten leistet das <strong>IHP</strong> einen<br />

herausragenden Beitrag. Das Interesse der Industrie<br />

an Forschungsergebnissen ist dank unserer Prototyping-<br />

Fähigkeiten besonders hoch. Das <strong>IHP</strong> trägt damit zur<br />

Erhöhung der Innovationsfähigkeit der deutschen und<br />

europäischen Industrie bei.<br />

Die enge Zusammenarbeit des Institutes mit Hochschulen<br />

und Universitäten geschieht u.a. im Rahmen von<br />

„Gemeinsamen Laboren“ (Joint Labs). Mit dem <strong>2012</strong><br />

eröffneten Joint Lab mit der Humboldt-Universität zu<br />

Berlin für „Drahtlose Kommunikationssysteme“ und der<br />

begonnenen Zusammenarbeit mit der TU Berlin auf dem<br />

Gebiet Bioelektronik, forscht das <strong>IHP</strong> nun in insgesamt<br />

fünf Joint Labs gemeinsam mit vier Berlin / Brandenburger<br />

Hochschulen.<br />

More than 50 percent of all innovations worldwide are<br />

based on application-specific integrated circuits and<br />

systems. In this area <strong>IHP</strong> makes an outstanding contribution.<br />

The industrial interest in research results<br />

is particularly high due to our possibility of prototyping.<br />

So in this manner, <strong>IHP</strong> makes an important<br />

contribution to increase the innovative ability of the<br />

German and European industry.<br />

Close cooperations are held with colleges and universities<br />

in the context of Joint Labs. Currently, <strong>IHP</strong> is<br />

doing research in five Joint Labs together with four<br />

Universities from Berlin / Brandenburg. This includes<br />

the latest established Joint Labs with the Humboldt-<br />

University of Berlin for “Wireless Communication Systems”<br />

and the Technical University of Berlin in the<br />

area of “Bioelectronics”.<br />

A n n u a l R e p o r t 2 0 1 2


V o r w o r t – F o r e w o r d<br />

Die Schwerpunkte der Forschungsarbeiten des Institutes<br />

im Jahr <strong>2012</strong> waren:<br />

- drahtlose Kommunikationssysteme<br />

- die Erhöhung der Sicherheit bei drahtloser<br />

Datenübertragung<br />

- Telemedizin<br />

- drahtlose low-power Sensornetze<br />

- mm-Wellen-Sensorik und Radar<br />

- integrierte Si-Photonik für Glasfaser-<br />

Daten-Übertragung<br />

- integrierte THz-Transistoren.<br />

The focus of <strong>IHP</strong>‘s research activities in the year <strong>2012</strong><br />

have been:<br />

- Wireless communication systems<br />

- enhancing the security of wireless data transfer<br />

- Telemedicine<br />

- Wireless low-power sensor networks<br />

- mm-wave-sensor technology and radar<br />

- integrated Si-photonic for optical communication<br />

- integrated THz-transistors.<br />

Die Forschungsergebnisse wurden in der Regel im Rahmen<br />

nationaler und europäischer Forschungsprojekte<br />

mit Partnern aus Wissenschaft und Industrie erarbeitet.<br />

Ein großer Teil der Projekte betrifft dabei Themen der<br />

Hightech-Strategie 2020 der Bundesregierung zur Erarbeitung<br />

von Schlüsseltechnologien und Lösungen für die<br />

Bedarfsfelder Kommunikation, Sicherheit und Gesundheit.<br />

The research results were generally developed in the<br />

context of national and European projects with partners<br />

from science and industry. The hightech-strategy<br />

2020 of the federal government relates to a huge<br />

part of the projects like developing key technologies<br />

and solutions in new areas in the fields of communication,<br />

security and health.<br />

Im Jahr <strong>2012</strong> erreichte das <strong>IHP</strong> ein Drittmittelvolumen<br />

von 13 Mio. Euro für Forschung und Services. Durch den<br />

Anstieg dieser Einnahmen konnte die Anzahl der Mitarbeiter<br />

deutlich wachsen und erfordert jetzt eine räumliche<br />

Erweiterung des Institutes. Für den hierfür notwendigen<br />

Erweiterungsbau fand am 8. September <strong>2012</strong> das Richtfest<br />

statt. Die Inbetriebnahme ist zum Ende des Jahres<br />

2013 vorgesehen. Dies war nur möglich durch die aktive<br />

Unterstützung des Landes Brandenburg und der Bundesregierung.<br />

Ihnen gilt unser Dank für die Förderung<br />

und die stetige Begleitung unserer Forschungsarbeit. Bei<br />

unseren Mitarbeiterinnen und Mitarbeitern bedanken wir<br />

uns für ihr großes Engagement und ihren Ideenreichtum<br />

als wichtigste Basis all unserer Erfolge.<br />

Third-party funds amounting to 13 million euros for<br />

research and services could be acquired in <strong>2012</strong>. Consequently,<br />

due to the increase of these funds, the<br />

number of employees could rise significantly. This<br />

required an extension of our institute building, and<br />

the topping-out ceremony was celebrated on the 8 th<br />

of September <strong>2012</strong>. The completion of the building<br />

is envisaged for the end of the year 2013. This would<br />

not have been possible without the active support<br />

of the federal state of Brandenburg and the Federal<br />

Government. Special thanks go to all our employees<br />

for their huge commitment and inventiveness. They<br />

are the most essential source of all our success.<br />

Wolfgang Mehr<br />

Wiss.-Techn. Geschäftsführer<br />

Manfred Stöcker<br />

Adm. Geschäftsführer<br />

A n n u a l R e p o r t 2 0 1 2


A n n u a l R e p o r t 2 0 1 2<br />

Contents


I n h a l t S V E R Z E I C H N I S – C o n t e n t s<br />

Vorwort<br />

2<br />

Foreword<br />

Aufsichtsrat<br />

6<br />

Supervisory Board<br />

Wissenschaftlicher Beirat<br />

7<br />

Scientific Advisory Board<br />

Forschung des <strong>IHP</strong><br />

8<br />

<strong>IHP</strong>‘s Research<br />

Das Jahr <strong>2012</strong><br />

18<br />

Update <strong>2012</strong><br />

Ausgewählte Projekte<br />

30<br />

Selected Projects<br />

Gemeinsame Labore<br />

70<br />

Joint Labs<br />

Zusammenarbeit und Partner<br />

82<br />

Collaboration and Partners<br />

Gastwissenschaftler und Seminare<br />

86<br />

Guest Scientists and Seminars<br />

Publikationen<br />

90<br />

Publications<br />

Angebote und Leistungen<br />

144<br />

Deliverables and Services<br />

Wegbeschreibung zum <strong>IHP</strong><br />

152<br />

Directions to <strong>IHP</strong><br />

A n n u a l R e p o r t 2 0 1 2


A u f s i c h t s r a t – S u p e r v i s o r y B o a r d<br />

Aufsichtsrat<br />

Konstanze Pistor<br />

Vorsitzende (bis 30. November <strong>2012</strong>)<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

Land Brandenburg<br />

Dr. Claudia Herok<br />

Vorsitzende (seit 1. Dezember <strong>2012</strong>)<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

Land Brandenburg<br />

RD Dr. Ulf Lange<br />

Stellvertretender Vorsitzender<br />

Bundesministerium für Bildung und Forschung<br />

Dr.-Ing. Peter Draheim<br />

Kaustik solar GmbH, Hamburg<br />

Dr. Gunter Fischer<br />

<strong>IHP</strong> GmbH<br />

Prof. Dr. Christoph Kutter<br />

Fraunhofer EMFT, München<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Dr. Jörg Steinbach<br />

(seit 14. März <strong>2012</strong>)<br />

Technische Universität Berlin<br />

Prof. Dr. Eicke R. Weber<br />

Fraunhofer ISE, Freiburg<br />

MinR Gerhard Wittmer<br />

Ministerium der Finanzen<br />

Land Brandenburg<br />

Supervisory Board<br />

Konstanze Pistor<br />

Chair (until November 30, <strong>2012</strong>)<br />

Ministry of Science, Research and Culture<br />

State of Brandenburg<br />

Dr. Claudia Herok<br />

Chair (since December 1, <strong>2012</strong>)<br />

Ministry of Science, Research and Culture<br />

State of Brandenburg<br />

RD Dr. Ulf Lange<br />

Deputy Chair<br />

Federal Ministry of Education and Research<br />

Dr.-Ing. Peter Draheim<br />

Kaustik solar GmbH, Hamburg<br />

Dr. Gunter Fischer<br />

<strong>IHP</strong> GmbH<br />

Prof. Christoph Kutter<br />

Fraunhofer EMFT, Munich<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Jörg Steinbach<br />

(since March 14, <strong>2012</strong>)<br />

Technical University of Berlin<br />

Prof. Eicke R. Weber<br />

Fraunhofer ISE, Freiburg<br />

MinR Gerhard Wittmer<br />

Ministry of Finance<br />

State of Brandenburg<br />

A n n u a l R e p o r t 2 0 1 2


W i s s e n s c h a f t l i c h e r B e i r a t – S c i e n t i f i c A d v i s o r y B O A R D<br />

Wissenschaftlicher Beirat<br />

Prof. Dr. Hermann Grimmeiss<br />

Vorsitzender (bis 28. Februar <strong>2012</strong>)<br />

Lund University<br />

Schweden<br />

Prof. Dr. Hermann Rohling<br />

Vorsitzender (seit 11. Juni <strong>2012</strong>)<br />

Technische Universität Hamburg-Harburg<br />

Dr. Josef Winnerl<br />

Stellvertretender Vorsitzender (bis 28. Februar <strong>2012</strong>)<br />

Intel Mobile Communications GmbH<br />

Neubiberg<br />

Prof. Dr. Jörg Weber<br />

Stellvertretender Vorsitzender (seit 11. Juni <strong>2012</strong>)<br />

Technische Universität Dresden<br />

Dr. Volker Dudek<br />

Clifton GmbH, Heilbronn<br />

Prof. Dr. Ignaz Eisele<br />

(bis 28. Februar <strong>2012</strong>)<br />

Fraunhofer IZM, München<br />

Prof. Dr. Lothar Frey<br />

Fraunhofer IISB, Erlangen<br />

Prof. Dr. Richard Hagelauer<br />

Johannes Kepler Universität Linz<br />

Österreich<br />

Prof. Dr. Robert Weigel<br />

Friedrich-Alexander-Universität Erlangen-Nürnberg<br />

Leitung<br />

Prof. Dr. Wolfgang Mehr<br />

Wissenschaftlich-Technischer Geschäftsführer<br />

Manfred Stöcker<br />

Administrativer Geschäftsführer<br />

Scientific Advisory Board<br />

Prof. Hermann Grimmeiss<br />

Chair (until February 28, <strong>2012</strong>)<br />

Lund University<br />

Sweden<br />

Prof. Hermann Rohling<br />

Chair (since June 11, <strong>2012</strong>)<br />

Hamburg University of Technology<br />

Dr. Josef Winnerl<br />

Deputy Chair (until February 28, <strong>2012</strong>)<br />

Intel Mobile Communications GmbH<br />

Neubiberg<br />

Prof. Jörg Weber<br />

Deputy Chair (since June 11, <strong>2012</strong>)<br />

Technical University of Dresden<br />

Dr. Volker Dudek<br />

Clifton GmbH, Heilbronn<br />

Prof. Ignaz Eisele<br />

(until February 28, <strong>2012</strong>)<br />

Fraunhofer IZM, Munich<br />

Prof. Lothar Frey<br />

Fraunhofer IISB, Erlangen<br />

Prof. Richard Hagelauer<br />

Johannes Kepler University Linz<br />

Austria<br />

Prof. Robert Weigel<br />

Friedrich-Alexander-University of Erlangen-Nuremberg<br />

Management<br />

Prof. Wolfgang Mehr<br />

Scientific Director<br />

Manfred Stöcker<br />

Administrative Director<br />

A n n u a l R e p o r t 2 0 1 2


A n n u a l R e p o r t 2 0 1 2<br />

<strong>IHP</strong>‘s Research


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Forschung des <strong>IHP</strong><br />

Das <strong>IHP</strong> konzentriert sich auf die Erforschung und Entwicklung<br />

von siliziumbasierten Systemen, Höchstfrequenz-Schaltungen<br />

und -Technologien einschließlich<br />

neuer Materialien. Es erarbeitet innovative Lösungen<br />

für Anwendungsbereiche wie die drahtlose und Breitbandkommunikation,<br />

die Luft- und Raumfahrt, die Biotechnologie<br />

und Medizin, die Automobilindustrie, die<br />

Sicherheitstechnik und die Industrieautomatisierung.<br />

<strong>IHP</strong>`s Research<br />

<strong>IHP</strong> is focused on research and development of siliconbased<br />

systems, high-frequency circuits and technologies<br />

including new materials. It creates innovative<br />

solutions for application areas such as wireless and<br />

broadband communication, aerospace, biotechnology<br />

and medicine, the automotive industry, security and<br />

industrial automation.<br />

Das Institut arbeitet an den folgenden vier eng miteinander<br />

verbundenen Forschungsprogrammen:<br />

1. Drahtlose Systeme und Anwendungen<br />

2. Hochfrequenz-Schaltkreise<br />

3. Technologieplattform für drahtlose und<br />

Breitbandkommunikation<br />

4. Materialien für die Mikro- und Nanoelektronik.<br />

Die Forschungsprogramme nutzen die besonderen Möglichkeiten<br />

des <strong>IHP</strong>. So verfügt das Institut über eine<br />

Pilotlinie für technologische Forschungen und Entwicklungen<br />

sowie die Präparation von Prototypen und<br />

Kleinserien. Eine weitere Besonderheit ist das vertikale<br />

Forschungskonzept unter Nutzung der zusammenhängenden<br />

und aufeinander abgestimmten Kompetenzen<br />

des Institutes auf den Gebieten Systementwicklung,<br />

Schaltungsentwurf, Technologie und Materialforschung.<br />

Die Forschung des <strong>IHP</strong> setzt auf die typischen Stärken<br />

eines Leibniz-Institutes: Sie ist charakterisiert durch<br />

eine langfristige und komplexe Arbeit, welche Grundlagenforschung<br />

mit anwendungsorientierter Forschung<br />

verbindet.<br />

The institute is working on the following four closely<br />

connected research programs:<br />

1. Wireless Systems and Applications<br />

2. RF Circuits<br />

3. Technology Platform for Wireless and<br />

Broadband Communication<br />

4. Materials for Micro- and Nanoelectronics.<br />

The research programs make use of the special opportunities<br />

provided by <strong>IHP</strong>. For instance, the institute<br />

has a pilot line for technological research and developments<br />

as well as for manufacturing prototypes<br />

and small series. An additional feature is the vertical<br />

research concept employing the associated and<br />

harmonized expertise of the institute in the fields of<br />

system development, circuit design, technology, and<br />

materials research.<br />

The research of <strong>IHP</strong> is based on the typical strengths<br />

of a Leibniz Institute: it is dominated by long-term<br />

and complex efforts which connect basic research<br />

with application-oriented research.<br />

Die Realisierung der Forschungsprogramme erfolgt mit<br />

Hilfe eines regelmäßig aktualisierten Portfolios von Projekten<br />

auf Basis einer mittelfristigen Roadmap. Die Aktualisierung<br />

geschieht aufgrund inhaltlicher Erfordernisse<br />

sowie der Möglichkeiten für Kooperationen und<br />

Finanzierung. Drittmittelprojekte werden im Einklang<br />

mit den strategischen Zielen des <strong>IHP</strong> eingeworben.<br />

The realization of the research programs is accomplished<br />

utilizing a project portfolio based on a medium-term<br />

roadmap. The project portfolio is regularly<br />

updated according to content requirements as well as<br />

through opportunities for cooperations and outside<br />

funding. Grant projects are acquired in accordance<br />

with the strategic goals of <strong>IHP</strong>.<br />

A n n u a l R e p o r t 2 0 1 2


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Die Forschungsprogramme des <strong>IHP</strong> verfolgen die<br />

folgenden wesentlichen Ziele:<br />

Drahtlose Systeme und Anwendungen<br />

Im Programm „Drahtlose Systeme und Anwendungen“<br />

werden komplexe Systeme für die drahtlose Kommunikation<br />

und deren Anwendungen untersucht und entwickelt.<br />

Ziel sind Hardware- / Software-Systemlösungen<br />

auf hochintegrierten Single-Chips, Systeme on Chip<br />

(SoC) oder Systeme in Packages (SiP). Die Arbeiten<br />

werden in drei Forschungsgruppen durchgeführt.<br />

Für WLANs hoher Performance sollen Datenraten bis<br />

100 Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht<br />

werden. Dazu wird insbesondere untersucht inwieweit<br />

die Grenze zwischen analogem und digitalem Design<br />

eine wesentliche Rolle für die Geschwindigkeit und den<br />

Energieverbrauch des Systems spielt. Weitere wichtige<br />

Forschungsthemen sind Untersuchungen, die die „Quality<br />

of Service“ im Hochlastbereich von drahtlosen Netzen verbessern<br />

sowie zur Erhöhung der Zuverlässigkeit von WLANs<br />

zur Verwendung in sicherheitskritischen Anwendungen wie<br />

in der Fahrzeug-zu-Fahrzeug-Kommunikation beitragen.<br />

Die Forschung zu Systemen mit geringem Energieverbrauch<br />

hat zum Ziel, Sensornetze auf Basis hochintegrierter<br />

Chips oder SoC zu realisieren. In diesem Zusammenhang<br />

werden neue Netzarchitekturen, verteilte,<br />

ressourcenarme Middleware-Ansätze, neue energieeffiziente<br />

Medienzugriffsprotokolle sowie energieeffiziente<br />

Transceiver erforscht und realisiert. UWB-Technologien<br />

auf der Basis des Standards IEEE 802.15.4a sind Beispiele<br />

für drahtlose Kommunikation im Nahbereich und<br />

zusätzlich hohe Ortsauflösungs-Eigenschaften. Weiterhin<br />

arbeitet die Gruppe an neuartigen drahtlosen<br />

„WakeUp“-Systemen und -Technologien. Diese dienen<br />

dazu einen Sensorknoten nur dann zu aktivieren, wenn<br />

ein äußeres Ereignis eintritt und der Knoten aktiv werden<br />

muss. Damit passt sich der Knoten an die asynchrone Realität<br />

an und kann äußerst energieeffizient aufgebaut<br />

werden.<br />

Significant goals of <strong>IHP</strong>’s research programs are<br />

specified below:<br />

Wireless Systems and Applications<br />

This program investigates and develops complex systems<br />

for wireless communication and their applications.<br />

The objective is finding solutions for hardware /<br />

software systems on highly integrated single chips,<br />

Systems on a Chip (SoC) or Systems in a Package<br />

(SiP). The activities are executed in three different<br />

scientific groups.<br />

The target of high performance WLAN research is<br />

to achieve a data rate of up to 100 Gbps at carrier<br />

frequencies of up to 300 GHz. This will in particular<br />

examine whether the boundary between analog and<br />

digital design plays an essential role for the speed<br />

and power consumption of the system. Additional important<br />

fields of research include the improvement of<br />

Quality of Service in the high load region of wireless<br />

networks as well as investigations to increase the reliability<br />

of WLANs for security-sensitive applications<br />

such as car-to-car communication.<br />

The research on systems with low energy consumption<br />

is directed towards sensor networks on single<br />

chips or SoC. In this context new network architectures,<br />

distributed low resource middleware concepts,<br />

new energy efficient protocols for media access as<br />

well as energy-efficient transceivers are investigated<br />

and realized. UWB technologies based on the<br />

standard IEEE 802.15.4a are examples of short-range<br />

wireless communication with an additional high<br />

spatial resolution. Furthermore, the group is working<br />

on new wireless “wake-up“ systems and technologies.<br />

These are used to activate a sensor node only when<br />

triggered by an external event and the node must be<br />

active. Thus, the node adjusts to the asynchronous<br />

reality and can be set up in an extremely energy<br />

efficient manner.<br />

10 A n n u a l R e p o r t 2 0 1 2


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Die Forschung zu kontextabhängigen Middleware-Systemen<br />

betrifft insbesondere auch die Erhaltung der Privatsphäre<br />

und die Sicherheit bei der Nutzung mobiler Endgeräte.<br />

Dazu werden modulare Kryptoprozessoren sowohl<br />

für AES (Advanced Encryption Standard) – als auch für<br />

unterschiedliche ECC (Elliptic Curve Cryptography)-Verfahren<br />

untersucht und entwickelt. Zusätzlich werden<br />

unterschiedliche Verfahren für die digitale Signatur zur<br />

Überprüfung der Authentizität von drahtlosen Nachrichten<br />

untersucht. Neuartige Radarsysteme werden für<br />

verschiedene Anwendungsszenarios wie Umweltsensorik<br />

und altersgerechte Assistenzsysteme (AAL) entwickelt.<br />

Research in context-sensitive middleware systems<br />

especially addresses privacy and security matters in<br />

using mobile devices. In this context, modular crypto<br />

processors for AES (Advanced Encryption Standard) as<br />

well as for different ECC (Elliptic Curve Cryptography)<br />

techniques are investigated and developed. Additionally,<br />

techniques for digital signature with different<br />

authenticity checks for wireless messages are<br />

investigated. New radar systems are developed for<br />

use in different application scenarios such as environmental<br />

sensing and age-based assisted living.<br />

Bei der Entwicklung von Methoden zur Erhöhung der<br />

Zuverlässigkeit und Testbarkeit von Schaltungen werden<br />

Bibliotheken für CMOS-Technologien untersucht<br />

und realisiert, die die Strahlungsfestigkeit von Schaltungen<br />

erhöhen. Im Bereich des Logikdesigns werden<br />

unterschiedliche Verfahren zur Redundanzerhöhung kritischer<br />

Pfade untersucht. Die Hardware wird für spezielle<br />

Signalkonfigurationen optimiert. Darüber hinaus werden<br />

Speichergeneratoren entwickelt, die unterschiedliche<br />

Speichertypen unterstützen. EDAC (Error Detection<br />

And Correction)-Techniken zur Datenkorrektur in Speichern<br />

gehören zum Portfolio. Der Test aller im <strong>IHP</strong> entwickelten<br />

digitalen Schaltungen wird als Dienstleistung<br />

angeboten. Neue Methoden zum Design von Schaltungen<br />

mit geringer Eigenstrahlung für den Einsatz im Weltraum<br />

oder in Fahrzeugen werden entwickelt. Die Untersuchungen<br />

der zuverlässigen Systeme erstrecken sich<br />

auch auf die Zuverlässigkeit von Speichern und SoCs.<br />

Hierzu arbeitet die Gruppe an innovativen Konzepten<br />

für Speicherkontroller die dynamisch fehlerhafte Daten<br />

reparieren, Speicherblöcke austauschen und Speichersequenzen<br />

reorganisieren sowie an Multiprozessorstrukturen<br />

die dynamisch unterschiedliche Verhaltensmuster<br />

bezüglich der Zuverlässigkeitsanforderungen annehmen<br />

können.<br />

CMOS libraries for higher radiation hardness are investigated<br />

and realized in the context of higher reliability<br />

and testability of circuits. For digital designs<br />

different procedures for obtaining higher redundancy<br />

in critical paths are investigated. Hardware will be<br />

optimized for special signal configurations. Furthermore,<br />

memory generators for different memory types<br />

are developed. Additional tasks are EDAC (Error Detection<br />

And Correction) techniques for data correction in<br />

memories and testing of all digital <strong>IHP</strong>-circuits as a<br />

service. New design methods are developed for low<br />

EMR applications in space or in automotive environments.<br />

The investigations of reliable systems extend<br />

to the reliability of memory and SoCs. For this, the<br />

group is working on innovative concepts for memory<br />

controllers that dynamically repair faulty data, replace<br />

memory blocks and reorganize memory sequences as<br />

well as on multiprocessor structures that can take the<br />

dynamically different behavior patterns with respect<br />

to the reliability requirements.<br />

A n n u a l R e p o r t 2 0 1 2<br />

11


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Hochfrequenz-Schaltkreise<br />

Im Programm „Hochfrequenz-Schaltkreise“ werden integrierte<br />

mm-Wellen-Schaltkreise & Synthesizer, Breitband-Mischsignal-Schaltkreise<br />

sowie Schaltkreise für<br />

drahtlose Anwendungen mit sehr geringem Energieverbrauch<br />

entwickelt und als Prototypen realisiert.<br />

RF Circuits<br />

In this program integrated mm-wave circuits & synthesizers,<br />

broadband mixed-signal circuits and circuits<br />

for ultra-low-power wireless applications will be<br />

designed and realized as prototypes.<br />

Integrierte Millimeterwellen-HF-Schaltkreise für Frontends<br />

und Synthesizer zum Einsatz in der drahtlosen<br />

Kommunikation bei Frequenzen von etwa 10 bis über<br />

720 GHz werden derzeit entwickelt. Sie ermöglichen in<br />

Zukunft Anwendungen im Bereich der drahtlosen Kurzstrecken-Kommunikation<br />

mit Bandbreiten von über<br />

25 GHz und 100 Gbps. Die Erschließung des sub-THz Bereiches<br />

in der Schaltungstechnik ermöglicht zunehmend<br />

Anwendungen im Bereich der Spektroskopie und hochsensitive<br />

Bio-Analytik auf der Basis preiswerter elektronischer<br />

Schaltungen. Ähnliches gilt auch für weitere<br />

Einsatzgebiete wie Short-Range Radarlösungen sowie<br />

mm-Wellen- / THz-Sensoren für Sicherheitstechnik und<br />

zerstörungsfreie Materialprüfung. Eine Kombination der<br />

Schaltungen und Sensorstrukturen mit Fortschritten in<br />

der Mikrofluidik könnte neue Möglichkeiten in Richtung<br />

Lab-on-Chip eröffnen.<br />

Integrated millimeter-wave RF circuits for frontends<br />

and synthesizers for wireless communication<br />

at roughly 10 to more than 720 GHz are currently<br />

under development. In the future they will enable<br />

applications in wireless short range communication<br />

with 100 Gbps at a bandwidth of more than 25 GHz.<br />

The development of the sub-THz region in the circuit<br />

design increasingly enables applications in spectroscopy<br />

and high-sensitive bio-analysis based on low<br />

cost electronic circuits. The same applies to other<br />

applications such as short-range radar solutions and<br />

mm-wave / THz sensors for security systems and nondestructive<br />

testing of materials. A combination of<br />

circuits and sensor structures with advances in microfluidics<br />

could open new possibilities in the direction<br />

of lab-on-chip.<br />

Für die glasfasergestützte Breitbandkommunikation<br />

werden Konzepte und elektronische Komponenten für<br />

Glasfasersysteme mit Datenraten von 10 bis 400 Gbps<br />

pro Laser-Wellenlänge für zukünftige schnelle Glasfasernetze<br />

sowie für die opto-elektronische Chip-to-Chip-<br />

Kommunikation entwickelt. Innovative Modulator-<br />

Konzepte, verbesserte Photo-Dioden sowie der Bedarf<br />

nach immer höheren Datenraten erfordern dabei immer<br />

leistungsfähigere schnelle Verstärker (Transimpedanzverstärker,<br />

Treiber) mit extrem hohen Bandbreiten,<br />

A / D- & D / A-Wandler mit Sampling-Raten von über<br />

20 GSps und schnelle Stromschalter-Logik. Die On-Chip-<br />

Integration mit photonischen Elementen eröffnet dabei<br />

neue Perspektiven (Silizium-Photonik).<br />

Concepts and electronic components for fiber-optical<br />

broadband communication systems with data rates<br />

from 10 up to 400 Gbps per Laser wavelength will<br />

be developed for future fast fiber-optical networks<br />

as well as optoelectronic chip-to-chip communication.<br />

Innovative concepts for modulators, improved<br />

photo-diodes as well as the demand for higher data<br />

rates require increasingly powerful fast amplifiers<br />

(transimpedance amplifiers, driver circuits) with extremely<br />

high bandwidth, A / D- and D / A converters<br />

with sampling rates of more than 20 GSps, and fast<br />

current switch logic circuits. The on-chip integration<br />

with photonic components opens new perspectives<br />

(Silicon Photonics).<br />

12 A n n u a l R e p o r t 2 0 1 2


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Extrem energieeffiziente Sende- und Empfangsschaltungen<br />

und HF-Komponenten spielen in drahtlosen<br />

Sensornetzen und generell in mobilen Anwendungen<br />

eine immer wichtigere Rolle. Hierzu werden innovative<br />

Impuls-Radio UWB-Transceiver, RF-MEMS-basierte<br />

Schaltungen und WakeUp-Radio-Konzepte erforscht,<br />

mit denen die geforderte Batterie-Lebensdauer von<br />

zehn Jahren erreichbar ist. Die Einbeziehung von passiven<br />

Bauelementen mit sehr hoher Güte in die Schaltungen<br />

sowie deren mögliche On-Chip-Integration<br />

spielt dabei eine entscheidende Rolle.<br />

Extremely energy efficient transmitter and receiver circuits<br />

and RF components play an increasingly important<br />

role in wireless sensor networks and in general in<br />

mobile applications. Ultra-low-power RF frontends and<br />

components are developed for wireless sensor networks.<br />

For this, innovative impulse UWB transceivers, RF-MEMS<br />

based circuits and concepts for wake-up radio are investigated<br />

which will comply with the required ten years<br />

battery lifetime. The inclusion of passive components<br />

with a very high quality in the circuits and their possible<br />

on-chip integration plays a crucial role.<br />

Technologieplattform für drahtlose und Breitbandkommunikation<br />

Siliziumbasierte Technologien für integrierte Schaltungen<br />

zielen auf kleinere Transistoren, eine höhere<br />

Anzahl Transistoren und höhere Arbeitsfrequenzen ab.<br />

Mit Erreichen des Nanometer-Bereiches müssen laterale<br />

und vertikale Strukturen prozessiert werden, die fast<br />

atomare Abmessungen haben („More Moore“-Ansatz<br />

der ITRS, der International Technology Roadmap for<br />

Semiconductors). Außerdem werden neuartige Bauelemente<br />

und Technologien entwickelt, um CMOS-Technologien<br />

noch weiter zu skalieren bzw. um Lösungen jenseits<br />

von Silizium-CMOS-Technologien zu erarbeiten.<br />

Entsprechend der ITRS zielt der alternative „More<br />

than Moore“-Ansatz auf Diversifikation durch die<br />

Kombination verschiedener Technologien mit angemessenem<br />

Skalierungsniveau. Das hier beschriebene<br />

Forschungsprogramm des <strong>IHP</strong> basiert auf einer „More<br />

than Moore“-Strategie der modularen Erweiterung von<br />

BiCMOS-Technologie für die drahtlose und Breitbandkommunikation.<br />

SiGe-BiCMOS-Technologien kombinieren<br />

schnelle SiGe-HBTs mit der Rechenleistung von CMOS<br />

auf einem Schaltkreis.<br />

Technology Platform for Wireless and Broadband<br />

Communication<br />

Future silicon based integrated circuits technology<br />

is targeting at reduced transistor dimensions, an increasing<br />

number of transistors and higher operating<br />

frequencies. By reaching the nanometer scale region,<br />

lateral and vertical structures which are close to atomic<br />

dimensions have to be processed (“More Moore”<br />

approach of the International Technology Roadmap for<br />

Semiconductors ITRS). Moreover, emerging research<br />

devices and technologies are under investigation to<br />

further extend the CMOS technology or to evaluate<br />

solutions beyond Si CMOS technologies.<br />

According to the ITRS the alternative “More than<br />

Moore” approach is targeting at diversification by<br />

combining different technologies based on a reasonable<br />

scaling level. This <strong>IHP</strong> research program is<br />

based on a “More Than Moore” strategy targeting a<br />

modular extension of BiCMOS technology for wireless<br />

and broadband communication. SiGe BiCMOS technologies<br />

combine high speed SiGe HBTs and computing<br />

power of CMOS on a single chip.<br />

A n n u a l R e p o r t 2 0 1 2<br />

13


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Die Hochfrequenzeigenschaften von HBTs konnten in<br />

den letzten Jahren erheblich verbessert werden und<br />

haben jetzt 500 GHz erreicht. Damit ermöglichen sie<br />

Anwendungen im Millimeterwellen-Bereich wie beispielsweise<br />

Fahrzeugradar (77 GHz), Glasfaserverbindungen<br />

mit hohen Datenraten (>100 Gbps) und drahtlose<br />

Verbindungen im Gbps-Bereich (60 GHz, 122 GHz).<br />

Das nächste Forschungsziel ist eine HBT-Generation mit<br />

700 GHz Grenzfrequenz.<br />

RF performance of HBTs has been significantly improved<br />

over the years, reaching 500 GHz now and<br />

enabling mm-wave applications such as automotive<br />

radar (77 GHz), high data rate fiber links (>100 Gbps)<br />

and Gbps wireless links (60 GHz, 122 GHz).The next<br />

research goal is a generation of HBTs with 700 GHz<br />

cut-off frequency.<br />

In einem „More than Moore“-Ansatz wird die Funktionalität<br />

der BiCMOS-Technologie durch die Integration optischer<br />

Komponenten (Si-Photonik) und MEMS-Strukturen<br />

erweitert. Darüber hinaus wird die monolithische<br />

bzw. hybride Heterointegration von Silizium- und<br />

III / V-Verbindungshalbleitern untersucht, die neuartige<br />

System-on-Chip Lösungen ermöglichen.<br />

Die in diesem Forschungsprogramm entwickelten Technologien<br />

werden Designern als Multi-Projekt-Wafer-Service<br />

für innovative Schaltungsentwürfe angeboten. Der<br />

Zeitplan der technologischen Durchläufe in der Pilotlinie<br />

ist auf der Homepage des <strong>IHP</strong> verfügbar.<br />

In a “More than Moore” approach the functionality<br />

of the BiCMOS technology is extended by integrating<br />

optical components (Silicon Photonics) and<br />

MEMS structures. Moreover, the monolithic or hybrid<br />

hetero-integration of Si and III / V compound semiconductor<br />

technologies are under investigation enabling<br />

new System-on-Chip solutions.<br />

The technologies developed within this program are<br />

offered to designers in a Multi Project Wafer Service<br />

for innovative circuits solutions. The schedule<br />

for technological runs in the pilot line in Frankfurt<br />

(Oder) can be found on <strong>IHP</strong>‘s website.<br />

14 A n n u a l R e p o r t 2 0 1 2


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Materialien für die Mikro- und Nanoelektronik<br />

Im Forschungsprogramm „Materialien für die Mikround<br />

Nanoelektronik“ wird zur längerfristigen Sicherung<br />

der technologischen Innovationskraft des Institutes an<br />

der Integration neuer funktioneller Modulkonzepte in<br />

moderne Silizium-BiCMOS-Technologien gearbeitet. Von<br />

besonderer Bedeutung sind hierbei „More than Moore“-<br />

Ansätze auf dem Gebiet künftiger Terahertz-, Photonikund<br />

Biomed-Anwendungen.<br />

Materials for Micro- and Nanoelectronics<br />

Focus of the research program “Materials for Micro<br />

and Nanoelectronics” is to secure the institute’s longterm<br />

technological innovation power by the integration<br />

of new functional module concepts in modern<br />

silicon BiCMOS technologies. Of particular importance<br />

here are “More than Moore” approaches for future<br />

terahertz, photonic and biomedical applications.<br />

Die Forschungsarbeiten im Bereich „Front-End-of-Line“<br />

(FEOL) zielen auf die Integration qualitativ hochwertiger<br />

alternativer Halbleiterstrukturen in die Silizium-Wafer-<br />

Plattform ab. Diese Arbeiten dienen folglich dazu, fundamentale<br />

Grenzen der Siliziumtechnologie aufgrund der<br />

physikalischen Materialparameter des Siliziums zu überwinden.<br />

Schwerpunkt bilden z. Zt. Integrationsansätze<br />

für Germanium-Mikro- und -Nanostrukturen, die mittels<br />

fortgeschrittener Epitaxie-Ansätze CMOS kompatibel<br />

hergestellt werden. Hierbei sind verspannte Germanium-<br />

Mikrobrückenstrukturen von besonderem Interesse, da<br />

diese als integrierte IR-Lichtemitter ein Schlüsselmodul<br />

darstellen, um die <strong>IHP</strong>-Technologie für künftige Anwendungen<br />

in der optischen Sensorik zu positionieren.<br />

Die Integration eingebetteter nichtflüchtiger Speichermodule<br />

in das „Back-End-of-Line“ (BEOL) ist von zentraler<br />

Bedeutung für die Leistungsfähigkeit komplexer,<br />

drahtloser Sensornetze. Die Forschung am <strong>IHP</strong> ist stark<br />

fokussiert auf die Bewertung des Potentials HfO 2<br />

-basierter,<br />

widerstandsgeschalteter RRAM-Speicherarrays,<br />

da diese insbesondere für leistungsarme Sensorknoten<br />

gegenüber Flash-Ansätzen ein erhebliches Verbesserungspotential<br />

bieten.<br />

The research in “front-end of line” (FEOL ) targets the<br />

integration of high quality alternative semiconductor<br />

structures on the mainstream Si wafer platform.<br />

This work thus intends to overcome fundamental<br />

limitations of silicon technology due to the physical<br />

parameters of the silicon material. Focus is currently<br />

on integration approaches for germanium micro-and<br />

nanostructures, which are manufactured using advanced<br />

epitaxial CMOS compatible approaches. Here,<br />

strained germanium-microbridge structures are of<br />

particular interest, since they represent an integrated<br />

IR light emitter which is a key module to position<br />

the <strong>IHP</strong> technology for future applications in optical<br />

sensors.<br />

Embedded non-volatile memory module integration<br />

in the “back-end of line” (BEOL) is of high importance<br />

to build up efficient complex wireless sensor<br />

networks. Research at <strong>IHP</strong> is strongly focused<br />

on evaluating the potential of HfO 2<br />

-based resistive<br />

switching RRAM memory arrays, because they offer a<br />

high potential for improvements compared to Flash<br />

approaches, especially for low power sensor nodes.<br />

A n n u a l R e p o r t 2 0 1 2<br />

15


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Außerdem werden mittels BEOL kompatibler Ansätze<br />

auf Aluminiumnitrid (AlN) basierende, akustische<br />

Oberflächenwellen-(SAW)-Filter integriert. Solche<br />

SAW-Bauteile können beispielsweise mittels geeigneter<br />

Oberflächenfunktionalisierung in der Biomolekül-Sensorik<br />

neue Anwendungen erschließen.<br />

Furthermore, surface acoustic wave (SAW) filters,<br />

based on aluminium nitride (AlN), are integrated<br />

in the BEOL. These SAW devices can be applied for<br />

example as sensors for biomolecules after functionalizing<br />

the surface in a suitable way.<br />

Die Forschungsgruppe für die erkundende Untersuchung<br />

neuer Materialien bewertet neue Materialien mit<br />

einem hohen Potential für zukünftige Anwendungen in<br />

den Bereichen Terahertz und Photonik in der Silizium-<br />

Mikroelektronik in einem sehr frühen Stadium. Im Fokus<br />

steht hier heute das Material Graphen, das aufgrund<br />

seiner beeindruckenden Eigenschaften (Stabilität, Leitfähigkeit<br />

etc.) verspricht, derzeitige Grenzen der Silizium-Mikroelektronik<br />

zu überwinden. Spezifisch widmet<br />

sich die Arbeitsgruppe dem vom <strong>IHP</strong> patentierten Graphen-Basis-Transistor<br />

im Hinblick auf potentielle THz-<br />

Anwendungen. Ferner ist die kontrollierte Herstellung<br />

von Graphen hoher Qualität mittels selektiver Verfahren<br />

der Gasphasenabscheidung auf Isolatoren ein wichtiger<br />

Meilenstein der Prozessintegration.<br />

The “Exploratory Materials Research Group” is concerned<br />

with the evaluation of new materials with<br />

a high potential for future terahertz and photonic<br />

applications in silicon microelectronics at a very<br />

early stage. In the focus today is the material graphene<br />

that because of its impressive properties<br />

(stability, conductivity, etc.) promises to overcome<br />

current limitations of silicon microelectronics. Specifically,<br />

a research group of <strong>IHP</strong> is working on the<br />

patented graphene transistor with regard to potential<br />

THz applications. Furthermore, the controlled<br />

production of high-quality graphene by selective<br />

methods of chemical vapor deposition on insulators<br />

is an important milestone in the integration process.<br />

Um die hohen Anforderungen moderner Silizium-<br />

Schaltkreise zu erfüllen ist eine Materialcharakterisierung<br />

mit hoher Auflösung und Sensitivität bis hinab<br />

zur Nano-Skala unabdingbar. Neben den laborbasierten<br />

Verfahren stellt daher die Nutzung des Potentials<br />

moderner Synchrotron-Quellen der 3. Generation in<br />

Europa (Petra III in Hamburg; ESRF in Grenoble) ein<br />

wesentliches Standbein der Materialforschung am <strong>IHP</strong><br />

dar. Das trifft insbesondere auf die zerstörungsfreien<br />

Synchrotron-Verfahren zu, womit gar die Materialuntersuchung<br />

in einem Bauelement während dessen Betrieb<br />

möglich werden wird.<br />

To meet the high demands of modern silicon circuits,<br />

a material characterization with high resolution and<br />

sensitivity down to the nanoscale is essential. In<br />

addition to laboratory-based techniques, the use of<br />

the potential of modern 3rd generation European<br />

synchrotron sources (Petra III in Hamburg, ESRF in<br />

Grenoble) is an essential pillar of materials research<br />

at <strong>IHP</strong>. This is especially true for non-destructive synchrotron<br />

techniques which allow to study the material<br />

“in operando” at work in a functional device.<br />

16 A n n u a l R e p o r t 2 0 1 2


F o r s c h u n g d e s I H P – I H P ‘ s R e s e a r c h<br />

Gegenstand der Arbeiten im Gemeinsamen Labor mit<br />

der BTU Cottbus ist die Silizium-Materialforschung.<br />

Dabei sollen die Eigenschaften des Si-Materials maßgeschneidert<br />

werden, um neue Anwendungen zu ermöglichen<br />

und um bestehende zu verbessern.<br />

Silicon materials research is the subject matter of the<br />

Joint Lab <strong>IHP</strong> / BTU Cottbus. Silicon properties are<br />

tailored to enable new applications and to improve<br />

existing ones.<br />

Schwerpunkte der grundlagenorientierten Vorlaufforschung,<br />

die sich u.a. mit den Möglichkeiten einer<br />

kontrollierten Ausnutzung der physikalischen Eigenschaften<br />

von Versetzungen für neuartige Bauelemente<br />

befasst, sind Arbeiten zu Si-basierten thermoelektrischen<br />

Generatoren, zum Defekt-Engineering für zukünftige<br />

Si-Wafer und zur Beherrschung der elektrischen<br />

Eigenschaften von Kristalldefekten im Solar-Si. Besonderes<br />

Augenmerk wird gerichtet auf die Aufklärung des<br />

‚super-metallischen‘ Transports von Ladungsträgern<br />

entlang von Versetzungen. Zusätzlich werden die Arbeiten<br />

zu Ge-Lichtemittern auf Si fortgesetzt, die sich von<br />

dem durch das MIT propagierten Ansatz unterscheiden.<br />

Focuses of the initial basic research, addressing<br />

amongst others the possibilities of controlled use of<br />

the physical properties of dislocations for new devices,<br />

are activities towards Si-based thermo-electric<br />

generators, defect engineering for future silicon wafers,<br />

and the control of electrical properties of crystal<br />

defects in solar silicon. Special attention is directed<br />

to the elucidation of the ‘super-metallic‘ transport of<br />

charge carriers along dislocations. Additional work<br />

on Ge light emitters on Si will be continued, which<br />

differs from the approach propagated by MIT.<br />

A n n u a l R e p o r t 2 0 1 2<br />

17


18 A n n u a l R e p o r t 2 0 1 2<br />

Update <strong>2012</strong>


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Das Jahr <strong>2012</strong><br />

Die im Jahr <strong>2012</strong> erfolgte begleitende Evaluierung des<br />

<strong>IHP</strong> durch seinen Wissenschaftlichen Beirat bestätigte<br />

die erfolgreiche Arbeit des Institutes. So ermöglichte<br />

die intensive nationale und internationale Kooperation<br />

des <strong>IHP</strong> im Rahmen von Forschungsprojekten und<br />

Services in diesem Jahr 13 Mio. Euro Drittmitteleinnahmen.<br />

Unter den zahlreichen Forschungsprojekten<br />

waren allein 13 EU-Projekte.<br />

Die Ergebnisse der Forschungsarbeit wurden <strong>2012</strong> in<br />

233 Publikationen und 285 Vorträgen veröffentlicht;<br />

17 Patente konnten eingereicht werden.<br />

Vom starken Engagement des <strong>IHP</strong> bei der studentischen<br />

Ausbildung zeugen neben den zahlreichen Vorlesungen<br />

von <strong>IHP</strong>-Wissenschaftlern an Hochschulen und Universitäten<br />

auch 10 verteidigte Dissertationen und 17<br />

Master- bzw. Bachelorarbeiten, sowie die zum 11. Mal<br />

durchgeführte Sommerschule „Mikroelektronik“.<br />

Beispiele für die intensive Kooperation in der Region<br />

sind neben den Joint Labs mit Hochschulen und Universitäten<br />

das Symposium „Tele-Rehabilitation“ gemeinsam<br />

mit der Deutschen Gesellschaft für Telemedizin<br />

und der „4. Brandenburger Sensornetztag – Sicherheit<br />

in Funksystemen“, der diesmal mit dem Partner IHK<br />

Ostbrandenburg durchgeführt wurde. Beim Businessplan-Wettbewerb<br />

Berlin-Brandenburg konnte das <strong>IHP</strong>-<br />

Ausgründungsprojekt BeamConnect in der Kategorie<br />

Technologie den ersten Platz belegen.<br />

Auf Vorschlag des <strong>IHP</strong> erhielt Prof. Dr. Ya-Hong Xie,<br />

University of California, Los Angeles, den Humboldt-<br />

Forschungspreis. Damit wurden seine wissenschaftlichen<br />

Leistungen gewürdigt, doch gilt der Preis auch<br />

als Auszeichnung der Exzellenz des gastgebenden Institutes.<br />

Er ist seit mehreren Jahren eng mit dem <strong>IHP</strong><br />

verbunden und war hier als Gastwissenschaftler tätig.<br />

Update <strong>2012</strong><br />

The accompanying evaluation of <strong>IHP</strong> carried out by<br />

the Scientific Advisory Board confirmed the successful<br />

work of the institute in the year <strong>2012</strong>. Third-party<br />

funds amounting to 13 million euros were reached<br />

due to strong national and international cooperation<br />

of <strong>IHP</strong> in the context of research projects and services.<br />

Among these numerous research projects were<br />

13 projects of the European Union.<br />

The results of the research activities were published<br />

in 233 papers and 285 presentations were given.<br />

Furthermore, 17 patents were registered in <strong>2012</strong>.<br />

<strong>IHP</strong> highly supports the education of students. This<br />

is confirmed by numerous lectures given by scientists<br />

of <strong>IHP</strong> in colleges and universities, 17 written master<br />

and bachelor theses and 10 defenses of doctor´s<br />

theses. Furthermore, the 11 th Summer school “<strong>Microelectronics</strong>”<br />

was successfully carried out.<br />

Examples of the strong cooperation in the region<br />

are the symposium “Tele-rehabilitation” carried out<br />

with the German Society for Telemedicine and the<br />

“4 th Brandenburg sensor-networking workshop on<br />

security in sensor networks” arranged together with<br />

the partner IHK East Brandenburg, in addition to the<br />

Joint Labs with colleges and universities. The <strong>IHP</strong><br />

spin-off project BeamConnect won the first prize in<br />

the Berlin-Brandenburg Business Plan Competition<br />

in the category technology.<br />

On a proposal from <strong>IHP</strong>, Prof. Ya-Hong Xie, University<br />

of California, Los Angeles, received the international<br />

research award of the Alexander von Humboldt Foundation.<br />

His outstanding scientific achievements were<br />

highly recognized with this award, but the award is<br />

also intended to highlight the scientific excellence<br />

of the host institute. He has been closely connected<br />

with <strong>IHP</strong> for several years and has worked as a guest<br />

scientist for <strong>IHP</strong>.<br />

A n n u a l R e p o r t 2 0 1 2<br />

19


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Wissenschaftliche Ergebnisse<br />

Im Folgenden wird auf ausgewählte wissenschaftliche<br />

Fortschritte im Forschungsprogramm des <strong>IHP</strong> hingewiesen.<br />

Scientific Results<br />

In the following selected scientific advances in the<br />

research program of <strong>IHP</strong> will be pointed out.<br />

Drahtlose Systeme und Anwendungen<br />

Die Ergebnisse des B<strong>MB</strong>F-Projekts EASY-A (Enablers for<br />

Ambient Services & Systems – 60 GHz Breitbandverbindungen)<br />

führten zur Vorbereitung der Ausgründung<br />

„BeamConnect“ für den Markt der Small-Cell Backhaul<br />

Netze. In diesem Markt werden P2P (Punkt zu Punkt)-<br />

und P2MP (Punkt zu Mehrpunkt)-Lösungen gesucht,<br />

die drahtlos die Basisstationen mit sehr hohen Datenraten<br />

verbinden. Die Besonderheit der <strong>IHP</strong>-Lösung ist<br />

die automatische Funkstrahlausrichtung, die sich nicht<br />

nur für die Feinausrichtung des Funkstrahls, sondern<br />

auch für das räumliche Multiplexen eignet. Es wurde<br />

ein EXIST Transfer-Projekt für die erste Unternehmensphase<br />

gewonnen.<br />

Wireless Systems and Applications<br />

The results of the B<strong>MB</strong>F project EASY-A (Enablers<br />

for Ambient Services & Systems – 60 GHz Broadband<br />

Links) led to the preparation of the spin-off “Beam<br />

Connect“ for the market for small cell backhaul networks.<br />

In this market P2P (point to point) and P2MP<br />

(point to multipoint) solutions are needed that connect<br />

wireless base stations with very high data rates.<br />

The special feature of the <strong>IHP</strong> solution is the automatic<br />

beam steering, which is suitable not only for<br />

the fine alignment of the radio beam, but also for the<br />

spatial multiplexing. An EXIST Transfer project for the<br />

first phase of the company was won.<br />

Weiterhin wurde auf der Basis der Ergebnisse von EASY-A<br />

und unseren Vorstellungen zu noch schnelleren drahtlosen<br />

Systemen ein DFG-Schwerpunktprogramm eingereicht<br />

und bewilligt. Das <strong>IHP</strong> und die BTU Cottbus<br />

koordinieren diesen DFG Schwerpunkt mit dem Titel:<br />

Drahtlose Ultrahochgeschwindigkeitskommunikation<br />

für den mobilen Internetzugriff: „Wireless 100 Gb / s<br />

and beyond“. Das mit 12 Mio Euro geförderte Programm<br />

wird über 6 Jahre neue Lösungen für zukünftige<br />

drahtlose Höchstgeschwindigkeitskommunikation<br />

untersuchen.<br />

Furthermore, based on the results of EASY-A and our<br />

ideas for even faster wireless systems, a DFG project<br />

was submitted and approved. The <strong>IHP</strong> and the BTU<br />

coordinate this DFG research focus entitled: Wireless<br />

Communications for Ultra-high Speed Mobile Internet<br />

Access, “Wireless 100 Gb / s and beyond”. The program<br />

funded with 12 million euros will explore new solutions<br />

for future wireless high speed communication<br />

over 6 years.<br />

20 A n n u a l R e p o r t 2 0 1 2


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Im Rahmen des Projektes TANDEM wurde der weltweit<br />

erste hochintegrierte UWB (Ultra-Breitband)-Schaltkreis<br />

mit geringer Datenübertragungsrate realisiert, der<br />

sowohl den digitalen Basisbandprozessor als auch das<br />

analoge HF-Frontend beinhaltet. Die weitere Integration<br />

mit dem ipms_430x-Mikroprozessor (zunächst auf<br />

einer PCB) konnte erfolgreich abgeschlossen werden.<br />

Somit verfügt das <strong>IHP</strong> erstmalig über einen komplett<br />

eigenen Sensorknoten mit allen zugehörigen Komponenten.<br />

Eine innovative Anwendung eines Sensormoduls<br />

wurde im Rahmen des Projektes Tele-Diagnostik<br />

voruntersucht und erste erfolgreiche Testmessungen<br />

wurden durchgeführt. In diesem Projekt werden mobile<br />

Sensorkapseln in einen Bioreaktor zur kontinuierlichen<br />

Messung und Überwachung der Bioflüssigkeiten eingebracht.<br />

Within the project TANDEM, the world‘s first highly integrated<br />

low-data rate UWB (Ultra-Wideband) chip was<br />

implemented, which includes both the digital baseband<br />

processor and the analog RF front-end. Further<br />

integration with the ipms_430x micro processor (initially<br />

on a PCB) has been successfully completed.<br />

Thus, for the first time, <strong>IHP</strong> possesses a complete own<br />

sensor node with all necessary components. An innovative<br />

application of a sensor module was tentatively<br />

investigated within the project “Telediagnostics“ and<br />

the first successful test measurements were carried<br />

out. In this project, mobile sensor capsules are placed<br />

in a bioreactor for continuous measurement and monitoring<br />

of bio-fluids.<br />

Neue Projekte wurden sowohl im Bereich der Security<br />

als auch im Bereich der drahtlosen Kommunikationstechnik<br />

eingeworben. Das Projekt Sens4U (Sensorknoten<br />

für Umweltmonitoring) ist insbesondere interessant,<br />

weil es die Kooperation mit 5 KMUs forciert und<br />

damit den Transfer von <strong>IHP</strong>-Ergebnissen in die Brandenburger<br />

Wirtschaft erleichtern kann. Das Projekt UltraSpread<br />

bearbeitet die Kommunikation im Sub-1GHz<br />

Bereich für Sensorknoten. In diesem Projekt wird auf<br />

der Basis PSSS (Parallel Sequence Spread Spectrum)<br />

ein schnelles Sensorkommunikationssystem mit hoher<br />

Reichweite und hoher Stabilität gegenüber schmalbandigen<br />

Störern aufgebaut. Dieses Projekt arbeitet mit<br />

einem KMU aus dem Land Brandenburg zusammen.<br />

New projects have been acquired both in the area of<br />

security and in the area of wireless communication<br />

technology. The project Sens4U (Sensor Nodes for Environmental<br />

Monitoring) is particularly interesting,<br />

because it accelerates the cooperation with 5 SMEs<br />

and thus may support the transfer of <strong>IHP</strong> results to<br />

companies in Brandenburg. The project UltraSpread<br />

works on communication in the sub-1GHz range for<br />

sensor nodes. In this project a fast sensor communication<br />

system with long range and high stability<br />

against narrowband interferers is built on the basis<br />

of PSSS (Parallel Sequence Spread Spectrum). This<br />

project is carried out in cooperation with a SME from<br />

Brandenburg.<br />

A n n u a l R e p o r t 2 0 1 2<br />

21


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Hochfrequenz-Schaltkreise<br />

Die für 60-GHz-WLAN-Anwendungen entwickelten Sende-<br />

und Empfangsschaltungen wurden weiter optimiert<br />

und verbessert, um eine spätere kommerzielle Verwertung<br />

zu erleichtern. Hervorzuhebende Einzelkomponenten<br />

sind ein Leistungsverstärker mit +16 dBm Ausgangsleistung<br />

und ein LNA (rauscharmer Verstärker)<br />

mit 6,5 dB Noise Figure. Derzeit wird im B<strong>MB</strong>F-Projekt<br />

PreLocate (Präzise Lokalisierung und Breitband-Kommunikation<br />

im 60-GHz-Band) ein neuer 60-GHz-Beamforming-Transceiver<br />

in 0,13-μm-BiCMOS-Technologie<br />

entwickelt, wodurch eine stark verbesserte Energieeffizienz<br />

der 60-GHz-Transceiver erreicht werden wird.<br />

Bei 122 GHz wurden FMCW (Frequency Modulated Continuous<br />

Wave Radar) Schaltungen im Rahmen des vom<br />

<strong>IHP</strong> koordinierten EU-Projektes SUCCESS (Silicon-based<br />

Ultra Compact Cost-Efficient System design for mm-<br />

Wave sensors) entwickelt. Hier ist es gemeinsam mit<br />

den Partnern erstmals gelungen, ein kompaktes System-in-Package<br />

(8x8 mm 2 ) erfolgreich zu demonstrieren.<br />

Zukünftig sollen auch selbstentwickelte On-Chip-<br />

Antennen eingesetzt werden, um den Integrationsgrad<br />

weiter zu erhöhen. Dazu wurde ein erstes Design der<br />

Radarschaltung inklusive Antennen entworfen. Die Entwicklung<br />

und der Einsatz von On-Chip-Antennen im<br />

Frequenzbereich oberhalb von 100 GHz vereinfachen<br />

signifikant den Aufbau solcher Systeme. Eine neue Design-Methode<br />

wurde gefunden, mit der Antennen-Effizienz<br />

und mechanische Stabilität in Einklang gebracht<br />

werden können.<br />

RF Circuits<br />

The transceiver chips developed for 60 GHz WLAN<br />

applications were further optimized and improved<br />

in order to simplify a subsequent commercial exploitation.<br />

Distinguished individual components are a<br />

power amplifier with +16 dBm output power and a<br />

LNA (low noise amplifier) with 6.5 dB noise figure.<br />

Currently, in the B<strong>MB</strong>F project PreLocate (Precise<br />

Localization and Broadband Communications in the<br />

60 GHz Band), a new 60-GHz beamforming transceiver<br />

in 0.13 µm BiCMOS technology is being developed,<br />

which will significantly improve the energy efficiency<br />

of the 60-GHz transceiver.<br />

FMCW (Frequency Modulated Continuous Wave Radar)<br />

circuits at 122 GHz were developed within the EU<br />

project SUCCESS (Silicon-Based Ultra Compact Cost-<br />

Efficient System Design for mmWave Sensors), coordinated<br />

by <strong>IHP</strong>. Together with the partners, a compact<br />

system-in-package (8x8 mm 2 ) was successfully demonstrated<br />

for the first time. In the future, self-developed<br />

on-chip antennas will also be used to further<br />

increase the degree of integration. An initial design<br />

of the radar circuit including antennas was realized.<br />

The development and use of on-chip antennas in the<br />

frequency range above 100 GHz significantly simplify<br />

the architecture of such systems. A new design method<br />

was developed to reconcile antenna efficiency<br />

and mechanical stability.<br />

Für 245 GHz konnten erste Schaltungskomponenten<br />

erfolgreich getestet werden und erste komplette Sender-<br />

und Empfängerschaltungen wurden demonstriert.<br />

Auch hier sind mit der On-Chip-Integration der Antennen<br />

noch deutliche Fortschritte zu erwarten.<br />

For 245 GHz, first circuit components were successfully<br />

tested and the first complete transmitter and<br />

receiver circuits were demonstrated. Here, too, with<br />

the on-chip integration of the antennas, significant<br />

progress can still be expected.<br />

22 A n n u a l R e p o r t 2 0 1 2


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

In der Kategorie Breitband- und Mischsignal-Schaltungen<br />

ist ein komplexer 12-Bit 1,5 GS / sec D / A Wandler<br />

für Raumfahrtanwendungen hervorzuheben, dessen<br />

Entwicklung erfolgreich abgeschlossen werden konnte.<br />

Bei diesen Raumfahrtanwendungen geht ein Trend in<br />

Richtung strahlungsharter Schaltungen und Systeme<br />

für Missionen außerhalb des erdnahen Raumes. Dazu<br />

werden Anstrengungen sowohl von der Seite der Technologie-<br />

und Bauelemente-Entwicklung als auch von<br />

der schaltungstechnischen Seite unternommen.<br />

A highlight in the category broadband and mixedsignal<br />

circuits is a complex 12-bit 1.5 GS / s D / A<br />

converter for space applications. The development of<br />

this converter was completed successfully. For these<br />

space applications there is a trend towards radiation<br />

hard circuits and systems for missions beyond low<br />

earth orbit space. Therefore, efforts are being made<br />

both from the side of technology and component development<br />

as well as on the circuit side.<br />

Im Bereich der auf Silizium-Technologie basierten<br />

Schaltungen für Glasfaser-Übertragungssysteme sind<br />

weitere Fortschritte erzielt worden. Hervorzuheben sind<br />

hier Transimpedanz-Verstärker, VCSEL (Vertical-Cavity<br />

Surface-Emitting Laser)-Treiber und Treiber für optische<br />

Modulatoren auf SiGe-HBT Basis für (Single Link) Datenraten<br />

von 28 Gbps und 56 Gbps. Im europäischen<br />

Verbundprojekt GALACTICO (Coherent Terabit Ethernet)<br />

werden multi-level Sende- und Empfangsschaltungen<br />

für optische Terabit-Router mit 112 Gbps pro optischem<br />

Kanal untersucht und entwickelt.<br />

In the area of Si technology-based circuits for fiber<br />

optic transmission systems, further progress has been<br />

made. Most notable are transimpedance amplifiers,<br />

VCSEL (Vertical-Cavity Surface-Emitting Laser) drivers<br />

and drivers for optical modulators based on SiGe HBTs<br />

for (single link) data rates of 28 Gbps and 56 Gbps.<br />

In the European project GALACTICO (Coherent Terabit<br />

Ethernet) multi-level transmission and reception<br />

circuits for terabit optical routers are examined and<br />

developed with 112 Gbps per optical channel.<br />

Im Rahmen des europäischen CATRENE Verbundprojektes<br />

RF2THzSiSoC werden Forschungen an energieeffizienten<br />

Schaltungen für Basisstationen mit<br />

Schaltkreisen in Silizium-Photonik-Technologie und<br />

Radio-over-Fiber-Techniken durchgeführt. Konkret werden<br />

hier Klasse-S Leistungsverstärker in SiGe-Technologie<br />

weiterentwickelt, die direkt über Glasfaser moduliert<br />

werden sollen.<br />

Research on energy-efficient circuits for base stations<br />

with circuits in silicon photonics technology<br />

and radio-over-fiber techniques is carried out within<br />

the joint European CATRENE project RF2THzSiSoC. In<br />

particular, class-S power amplifiers in SiGe technology,<br />

which are to be modulated directly via fiber, are<br />

further developed in this project.<br />

Auf dem Gebiet der UWB-Transceiver konnten zwei unterschiedliche<br />

Systeme zur Datenübertragung und zur<br />

Lokalisierung in Gebäuden demonstriert werden. Zum<br />

einen wurde im Projekt DISTAG (UWB Lokalisierung) ein<br />

UWB-Chipsatz mit proprietärem Datenübertragungsprotokoll<br />

weiterentwickelt. Hervorzuheben ist hier<br />

die Entwicklung eines vollintegrierten Leistungsverstärkers<br />

mit mehr als 1 Vpp-Peak-Ausgangsamplitu-<br />

In the field of UWB transceivers, two different systems<br />

for data transfer and localization in buildings<br />

were demonstrated. Firstly, in the project DISTAG<br />

(UWB localization) a UWB chipset with a proprietary<br />

data transmission protocol was developed. Worthy of<br />

mention here is the development of a fully integrated<br />

power amplifier with more than 1 Vpp peak output<br />

amplitude with a power-down switching capability<br />

A n n u a l R e p o r t 2 0 1 2<br />

23


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

de mit einer Power-Down-Schaltfähigkeit im Bereich<br />


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Die Arbeiten zur Integration von MEMS-Komponenten<br />

(Hochfrequenz-Schalter) in eine BiCMOS-Technologie<br />

laufen sehr erfolgreich innerhalb der EU-Projekte<br />

FLEXWIN (Flexible Microsystem Technology for Microand<br />

Millimetre-Wave Antenna Arrays with Intelligent<br />

Pixels) und Nanotec (Nanostructured materials and RF-<br />

MEMS RFIC / MMIC technologies for highly adaptive and<br />

reliable RF systems). Die innerhalb des Schwerpunktes<br />

entwickelten Technologiemodule RF-MEMS-Switch, lokales<br />

Rückseitenätzen und On-Top-Kupfermetallisierung<br />

(zusammen mit IZM) wurden <strong>2012</strong> im MPW-Service<br />

bereits für Partner angeboten.<br />

Der Forschungsschwerpunkt Si-Photonik hat sich sowohl<br />

hinsichtlich der erreichten wissenschaftlichen<br />

Ergebnisse als auch hinsichtlich der eingeworbenen<br />

Förderprojekte deutlich weiterentwickelt. Zusätzlich<br />

zu den schon laufenden EU-Projekten HELIOS (Photonics<br />

Electronics functional Integration on CMOS) und<br />

GALACTICO (blending diverse photonics and eLectronics<br />

on silicon for integrated and fully functional Coherent<br />

Tb Ethernet) konnten das EU-Projekt ESSENTIAL<br />

und die national geförderten Projekte RF2THzSiSoC,<br />

SILIMOD (Ultrakleiner Hochgeschwindigkeits-Modulator<br />

für photonisch integrierte Schaltkreise) und MINI-<br />

MUM (Miniaturisierte Multisensor-Plattform für schnellen<br />

Label-freien optischen Molekülnachweis) gestartet<br />

werden. Zu weiteren Projektanträgen liegen positive<br />

Bewertungen vor. Über das gemeinsame Labor mit der<br />

TU Berlin ist das <strong>IHP</strong> am Sonderforschungsbereich SFB<br />

787 mit dem Teilprojekt C 10 „Nanophotonische Taktverteilung<br />

für ultra-schnelle BiCMOS-Technologie“ beteiligt.<br />

Work on the integration of MEMS components (RF<br />

switch) in a BiCMOS technology is very successful<br />

within the EU projects FLEXWIN (Flexible Microsystem<br />

Technology for Micro-and Millimetre-Wave Antenna<br />

Arrays with Intelligent Pixels) and Nanotec<br />

(Nanostructured Materials and RF-MEMS RFIC / MMIC<br />

Technologies for Highly Adaptive and Reliable RF<br />

Systems). The technology modules RF MEMS switch,<br />

local backside etching and on-top copper metallization<br />

(with IZM) developed within the RF-MEMS group,<br />

were already offered to partners within the MPW service<br />

in <strong>2012</strong>.<br />

The research focus Si Photonics has witnessed significant<br />

further development, both in terms of achieved<br />

scientific results and in terms of funded projects. In<br />

addition to the already running EU projects HELIOS<br />

(Photonics Electronics Functional Integration on<br />

CMOS) and GALACTICO (Blending Various Photonics<br />

and Electronics on Silicon for Integrated and Fully<br />

Functional Coherent Tb Ethernet) the EU project<br />

ESSENTIAL and the nationally funded projects<br />

RF2THzSiSoC, SILIMOD (Ultra Small High-Speed<br />

Modulator for Photonic-Integrated-Circuits) and<br />

MINIMUM (Miniaturized Multi-Sensor Platform for<br />

Fast Label-Free Optical Detection Molecule) were<br />

started. Additional project proposals were favorably<br />

reviewed. Through the Joint Lab with TU Berlin, <strong>IHP</strong><br />

is involved in the Project C 10 “Nanophotonic clock<br />

distribution for ultra-fast BiCMOS technology“ in the<br />

Collaborative Research Center SFB 787.<br />

Innerhalb des MPW-Services werden die entwickelten<br />

Technologien Partnern und Kunden zur Verfügung gestellt.<br />

Dieser Service trägt signifikant zu den Drittmitteleinnahmen<br />

der Technologie bei und ist Basis für nationale<br />

und internationale Forschungskooperation in<br />

geförderten Projekten. <strong>2012</strong> wurden vier 0,25-µm- und<br />

zwei 0,13-μm-BiCMOS-Technologieshuttle bearbeitet.<br />

The developed technologies are offered to partners<br />

and customers through the MPW service. This service<br />

contributes significantly to the third party funding<br />

of the technology and is the basis for national<br />

and international research collaboration in funded<br />

projects. In <strong>2012</strong> four 0.25 µm and two 0.13 µm<br />

BiCMOS technology shuttles were processed.<br />

A n n u a l R e p o r t 2 0 1 2<br />

25


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Materialien für die Mikro- und Nanoelektronik<br />

(einschließlich Gemeinsames Labor <strong>IHP</strong> / BTU<br />

Cottbus)<br />

Materials for Micro-and Nanoelectronics<br />

(Including Joint Lab <strong>IHP</strong> / BTU)<br />

Die erkundende Materialforschungsgruppe („Exploratory<br />

Materials Research“) konzentriert ihre Arbeit auf<br />

innovative Graphen-basierte Bauelemente für die künftige<br />

Hochfrequenz-Silizium-Mikroelektronik. Eine theoretische<br />

Simulationsarbeit wurde im Jahre <strong>2012</strong> in der<br />

Zeitschrift „IEEE Electron Device Letters“ veröffentlicht<br />

und belegt das hohe Potential des vom <strong>IHP</strong> patentierten<br />

„Graphene Base Transistor (GBT)“-Konzepts für Terahertz-Anwendungen.<br />

Der GBT bildet die Grundlage für<br />

ein erfolgreich eingeworbenes EU-STREP-Projekt, das<br />

im Oktober <strong>2012</strong> startete und in drei Jahren gemeinsam<br />

mit Infineon und weiteren akademischen Partnern<br />

aus Europa das Potential dieses Bauteils in der Praxis<br />

erforschen wird. Ein zentraler Prozessschritt bei der<br />

Etablierung von Graphen in der Silizium-Mikroelektronik<br />

ist das selektive Graphen-Wachstum hoher Qualität<br />

auf Isolatoren. Zu dieser Thematik wurde erfolgreich<br />

ein BMWi-Projekt gemeinsam mit der Firma Sentech<br />

sowie ein DFG „Oxide Catalyst“-Clusterprojekt eingeworben;<br />

ferner bestehen enge Forschungskooperationen<br />

mit Partnern in der Region (wie z.B. dem Gemeinsamen<br />

Labor <strong>IHP</strong> / TH Wildau). In Zusammenarbeit mit<br />

der University of California Los Angeles (UCLA) in den<br />

USA werden mit Hilfe von Nanostrukturen auf Silizium<br />

Möglichkeiten untersucht, Graphen in Kombination mit<br />

plasmonischen Effekten für die Biomolekülsensorik zu<br />

nutzen. Ein Artikel in dem „high-impact“ Journal ACS<br />

Nano konnte publiziert werden.<br />

The exploratory material research group concentrates<br />

its work on innovative graphene-based devices<br />

for future high-frequency silicon microelectronics. A<br />

theoretical simulation work was published in <strong>2012</strong> in<br />

the journal “IEEE Electron Device Letters,“ and shows<br />

the high potential of the <strong>IHP</strong> patented “Graphene<br />

base transistor (GBT)“ concept for terahertz applications.<br />

The GBT transistor is the basis for a successfully<br />

acquired EU STREP project, which started in October<br />

<strong>2012</strong> and will research the potential of this device<br />

in practice together with Infineon and other academic<br />

partners in Europe in the next three years. A key<br />

process step in the establishment of graphene in silicon<br />

microelectronics is the selective growth of high<br />

quality graphene on insulators. On this issue both<br />

a BMWi project together with the company Sentech,<br />

and a DFG cluster project “Oxide Catalyst“ were successfully<br />

acquired. There is also a close research<br />

cooperation with regional partners such as the Joint<br />

Lab <strong>IHP</strong> / TUAS Wildau. In collaboration with the University<br />

of California, Los Angeles (UCLA) in the U.S.,<br />

options are examined with the help of nanostructures<br />

on silicon, to use graphene in combination with plasmonic<br />

effects for sensor technology with biomolecules.<br />

A scientific article in the “high-impact“ journal<br />

ACS Nano was published.<br />

26 A n n u a l R e p o r t 2 0 1 2


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Die „Front-End-of-Line“ (FEOL)-Gruppe untersucht<br />

die Integration alternativer Halbleiter auf der Silizium-Plattform<br />

zur Leistungssteigerung bzw. Funktionserweiterung.<br />

Im Bereich der Photonik arbeitet<br />

die <strong>IHP</strong>-Materialforschung gemeinsam mit der Technologieabteilung<br />

in der SiGe-Forschung an verspannten<br />

Ge-Bauteilen, denen ein hohes Potential als Si-CMOS<br />

kompatible Leuchtquelle zugeordnet wird. Die Verspannung<br />

wird am <strong>IHP</strong> mittels mikromechanischer Brückenstrukturen<br />

aufgebaut, um einen Si-CMOS kompatiblen<br />

Prozessfluss zu erarbeiten. Prof. Dr. Giovanni Capellini<br />

von der Università Roma Tre in Italien arbeitet im Rahmen<br />

einer „Associate Research Group“ am <strong>IHP</strong>, um diese<br />

Forschungsaktivität voranzutreiben. Prof. Ya-Hong<br />

Xie von der University of California Los Angeles wurde<br />

im Jahre <strong>2012</strong> durch einen Humboldt-Forschungspreis<br />

für seine Verdienste in der SiGe-Forschung ausgezeichnet.<br />

Die Nominierung erfolgte durch das <strong>IHP</strong> und der<br />

Preis, der sowohl den Forscher als auch den Gastgeber<br />

auszeichnet, bildet die Grundlage für die weitere<br />

Zusammenarbeit. Weiterhin verstärkt Dr. Gang Niu<br />

als Alexander von Humboldt-PostDoc Fellow das Heteroepitaxie-Team.<br />

Gemeinsam mit der Siltronic AG hat<br />

das <strong>IHP</strong> einen flexiblen Oxidpufferansatz zur Integration<br />

einkristalliner Galliumnitridschichten auf Si(111)<br />

patentiert. Ein gemeinsamer Forschungsvertrag für die<br />

Jahre 2013-2015 wurde unterzeichnet und die Zusammenarbeit<br />

wird darin auf strukturierte Waferansätze<br />

zur GaN-Integration ausgeweitet. Dr. Adam Szyska von<br />

der TU Wroclaw unterstützt als Marie Curie Fellow diese<br />

„GaN on Si“-Aktivitäten.<br />

The “front-end-of-line“ (FEOL) group investigates<br />

the integration of alternative semiconductors on the<br />

silicon platform to improve performance or to add<br />

new features. In photonics the <strong>IHP</strong> materials research<br />

works together with the technology department<br />

in the SiGe research on strained Ge-devices, which<br />

have a high potential as a Si-CMOS-compatible light<br />

source. At <strong>IHP</strong> the tension is built up by micromechanical<br />

bridge structures to develop a Si-CMOScompatible<br />

process flow. Prof. Giovanni Capellini<br />

from the Università Roma Tre in Italy is working in a<br />

“Associate Research Group“ at <strong>IHP</strong> to advance this research<br />

activity. Prof. Ya-Hong Xie from the University<br />

of California Los Angeles in <strong>2012</strong>, was distinguished<br />

with a Humboldt Research Award for his work in SiGe<br />

research. The nomination was made by the <strong>IHP</strong> and<br />

the prize, which is awarded both to the researcher<br />

and the host, is the basis for further collaboration.<br />

Furthermore, Dr. Gang Niu supports the heteroepitaxy<br />

team as a Alexander von Humboldt postdoctoral<br />

fellow. Together with Siltronic, <strong>IHP</strong> has patented a<br />

flexible oxide buffer approach for the integration of<br />

single-crystal gallium nitride on Si (111). A research<br />

contract for the years 2013-2015 was signed, extending<br />

the cooperation to structured wafer approaches<br />

for the integration of GaN wafers. Dr. Adam Szyska<br />

from the TU Wroclaw supports these “GaN on Si“ activities<br />

as a Marie Curie Fellow.<br />

Die „Back-End-of-Line“ (BEOL)-Gruppe arbeitet intensiv<br />

im Sinne der „More than Moore“ Strategie des <strong>IHP</strong><br />

an der Modulintegration in die Si-BiCMOS-Technologie.<br />

Einen Schwerpunkt bilden sogenannte „Embedded nonvolatile<br />

Memory“ (e-NVM)-Module in der BiCMOS-Technologie<br />

des <strong>IHP</strong> für künftige Sensornetzanwendungen.<br />

Der sogenannte RRAM-Speicheransatz des <strong>IHP</strong> beruht<br />

auf HfO 2<br />

-basierten Metall-Isolator-Metall-Speicherzellen,<br />

deren Widerstand durch elektrische Impulse reversibel<br />

schaltbar ist.<br />

The “back-end-of-line“ (BEOL) Group is working in<br />

the sense of the “More than Moore“ strategy of <strong>IHP</strong><br />

on the integration of modules into the Si BiCMOS<br />

technology. One focus are so-called “embedded nonvolatile<br />

memory“ (e-NVM) modules in the <strong>IHP</strong> BiCMOS<br />

technology for future sensor network applications.<br />

The so-called RRAM approach of the <strong>IHP</strong> is based<br />

on HfO 2<br />

-based metal-insulator-metal memory cells<br />

whose resistance is reversibly switchable by electric<br />

pulses.<br />

A n n u a l R e p o r t 2 0 1 2<br />

27


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Im September <strong>2012</strong> startete gemeinsam mit der TU<br />

Darmstadt ein neues DFG-Projekt, um die Materialphysik<br />

des reversiblen Schaltens im Detail zu verstehen<br />

und zu optimieren. Darüber hinaus wurde ein B<strong>MB</strong>F<br />

NanoMatFut-Antrag (gemeinsam mit dem Forschungszentrum<br />

Jülich und der Firma X-FAB) in der ersten<br />

Stufe positiv evaluiert und steht nun kurz vor der finalen<br />

Begutachtung, um in dieser Thematik eine unabhängige<br />

Nachwuchsgruppe am <strong>IHP</strong> zu etablieren.<br />

Im Rahmen der vom Land Brandenburg geförderten<br />

biomedizinischen Projekte gelang die BEOL-Integration<br />

von Aluminiumnitrid (AlN)-Schichten, die aufgrund der<br />

hohen akustischen Geschwindigkeit von hohem Interesse<br />

für „Surface Acoustic Wave (SAW)“-Sensoren sind.<br />

Komplette SAW-Bauteile für Frequenzen von etwa 4 GHz<br />

konnten in einen konventionellen Silizium-CMOS-Prozess<br />

integriert werden. Derartige Bauelemente werden<br />

häufig zur Messung von Druck und Temperatur oder für<br />

mikrofluidische Anwendungen benötigt. Z. Zt. werden<br />

ferner spezifische Oberflächenfunktionalisierungen für<br />

die Biomolekülsensorik erarbeitet, die die Grundlage<br />

für weitere SAW-Projekte bilden werden.<br />

In September <strong>2012</strong>, together with the Technical University<br />

of Darmstadt, a new DFG project was started to<br />

understand and optimize the physics of the reversible<br />

switching material in detail. In addition, a B<strong>MB</strong>F<br />

NanoMatFut-application (together with the Research<br />

Centre Jülich and the company X-FAB) was positively<br />

evaluated in the first stage and is now nearing the<br />

final examination in order to establish an independent<br />

research group at the <strong>IHP</strong> in this area. As part of<br />

the federal state of Brandenburg funded biomedical<br />

projects, the BEOL integration of aluminum nitride<br />

(AlN)-layers was successful, which is of high interest<br />

for “surface acoustic wave (SAW)” sensors due to the<br />

high acous-tic velocity. Complete SAW devices for<br />

frequencies of about 4 GHz were integrated into a<br />

conventional silicon CMOS process. Such devices are<br />

often required for the measurement of pressure and<br />

temperature, or for microfluidic applications. Currently,<br />

further specific surface functionalization for<br />

biomolecule sensors are being developed, which will<br />

form the basis for further SAW projects.<br />

Im Gemeinsamen Labor <strong>IHP</strong> / BTU untersucht das vom<br />

BMU geförderte Verbundprojekt Solar-WinS, das im<br />

Frühjahr 2011 gestartet wurde, das Verhalten von hochreinem<br />

multi-kristallinem Silizium. Dabei stehen Untersuchungen<br />

der Verteilung nichtdotierender Verunreinigungen<br />

(O, C und N) und der elektrischen Aktivität von<br />

Kristalldefekten, Korngrenzen und Verunreinigungen<br />

mit verschiedenen diagnostischen Methoden im Mittelpunkt<br />

der Arbeiten. Im B<strong>MB</strong>F-Verbundprojekt PVcomB,<br />

das noch bis 2014 läuft, wird die elektrische und optische<br />

Defektanalytik für die Dünnfilmphotovoltaik weiterentwickelt<br />

und insbesondere für a-Si / c-Si-Strukturen<br />

auf Glas eingesetzt. Das B<strong>MB</strong>F-Verbundprojekt SINOVA,<br />

in dem Grundlagenuntersuchungen zu Supergittern<br />

c-Si / SiO 2<br />

durchgeführt wurden, wurde im Frühjahr<br />

<strong>2012</strong> erfolgreich abgeschlossen.<br />

In the Joint Lab <strong>IHP</strong> / BTU the BMU-funded joint<br />

project SolarWinS, which was launched in spring<br />

2011, investigates the behavior of high purity multicrystalline<br />

silicon. The investigation of the impurity<br />

distribution (O, C and N) and the electrical activity<br />

of crystal defects, grain boundaries and impurities<br />

with different diagnostic methods are in the focus.<br />

In the B<strong>MB</strong>F project PVcomB, which runs until 2014,<br />

the electrical and optical defect analysis for thin film<br />

photovoltaic is further developed and is especially<br />

used for a-Si / c-Si-structures on glass. The B<strong>MB</strong>F<br />

project SINOVA, where basic research on super-lattices<br />

c-Si / SiO2 was carried out, was successfully concluded<br />

in early <strong>2012</strong>.<br />

28 A n n u a l R e p o r t 2 0 1 2


D a s J a h r 2 0 1 2 – U p d a t e 2 0 1 2<br />

Für das B<strong>MB</strong>F-Verbundprojekt SiGe-TE (Silizium- und<br />

Silizium-Germanium-Dünnfilme für thermoelektrische<br />

Anwendungen) konnten im 3. Quartal <strong>2012</strong> die ersten<br />

Funktionsmuster siliziumbasierter thermoelektrischer<br />

Generatoren mit Versetzungsnetzwerk realisiert werden.<br />

Im Ergebnis der derzeit laufenden Auswertungen<br />

werden Schlussfolgerungen zu Möglichkeiten und Grenzen<br />

derartiger in Si-Technologien integrierbarer Thermoelektrogeneratoren<br />

erwartet. Weiterhin wurde in der<br />

Zusammenarbeit mit dem MPI für Mikrostrukturphysik<br />

Halle ein ungewöhnlicher ‚super-metallischer‘ Transport<br />

von Ladungsträgern entlang von Versetzungen<br />

beobachtet.<br />

In the B<strong>MB</strong>F project SiGe-TE (silicon and silicon-germanium<br />

thin films for thermoelectric applications)<br />

the first functional prototypes of silicon-based thermoelectric<br />

generators with dislocation networks were<br />

realized in the 3 rd quarter of <strong>2012</strong>. As a result of the<br />

ongoing evaluations, conclusions on possibilities and<br />

limitations of such in Si technologies integratable<br />

thermoelectric generators are expected. Furthermore,<br />

in collaboration with Max Planck Institute of<br />

Microstructure Physics, Halle, an unusual, ‚super-metallic‘<br />

transport of charge carriers along dislocations<br />

was observed.<br />

Die Zusammenarbeit mit der Siltronic AG über Gettern<br />

in zukünftigen Si-Wafern verlief auch in <strong>2012</strong> sehr erfolgreich,<br />

sodass die Kooperation weitergeführt wird.<br />

In der bilateralen Zusammenarbeit mit der Universität<br />

Stuttgart wurde <strong>2012</strong> begonnen, GeSn-Strukturen auf<br />

Si zu untersuchen, die beispielsweise für integrierte IR-<br />

Detektoren von Interesse sind.<br />

The cooperation with Siltronic on gettering in future<br />

silicon wafers was also very successful in <strong>2012</strong>, so<br />

that the cooperation will continue.<br />

In bilateral cooperation with University of Stuttgart<br />

the investigation of GeSn-structures on Si, which are<br />

for example interesting for IR integrated detectors,<br />

was started in <strong>2012</strong>.<br />

Besuch der Ministerin für Wissenschaft, Forschung und Kultur des<br />

Landes Brandenburg – Prof. Dr. Sabine Kunst in Diskussion mit<br />

Dr. Gunter Fischer (<strong>IHP</strong>).<br />

Visit of the Minister of Science, Research and Culture,<br />

State of Brandenburg – Prof. Sabine Kunst in discussion with<br />

Dr. Gunter Fischer (<strong>IHP</strong>).<br />

A n n u a l R e p o r t 2 0 1 2<br />

29


30 A n n u a l R e p o r t 2 0 1 2<br />

Selected Projects


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Erweiterte Sicherheit für kritische<br />

Infrastrukturen<br />

Ziel des Projektes ist die Entwicklung einer ganzheitlichen<br />

Sicherheitslösung für die industrielle Informationstechnik<br />

(IIT) von kritischen Infrastrukturen.<br />

In den letzten Jahren ist in der industriellen Informationstechnik<br />

ein Trend weg von den proprietären, kabelgebundenen<br />

und abgeschlossenen Bussystemen hin zu drahtlosen,<br />

standardisierten und offenen Verbindungsnetzwerken zu<br />

verzeichnen. Durch die Verbindung dieser Technologien<br />

werden die Systeme, die die Phänomene der realen Welt<br />

überwachen, in ein gemeinschaftliches Netz eingebunden.<br />

Derartige Systeme werden als Cyber Physical Systems<br />

(CPS) bezeichnet und stellen die Sicherheit von industriellen<br />

Informationssystemen vor völlig neue Probleme. Das<br />

steigende Bedrohungspotential und die Schwierigkeit der<br />

Abwehr von Angriffen sind bereits heute sichtbar.<br />

Enhanced Security for Critical<br />

Infrastructures<br />

This project aims at developing of a holistic security<br />

solution for industrial information systems of Critical<br />

Infrastructures.<br />

In recent years there is a trend in the industrial information<br />

technology from proprietary, wired and closed<br />

bus systems to wireless, standardized and open communication<br />

systems. By combining these technologies,<br />

systems which monitor real world phenomena,<br />

will be integrated in a common network. Such systems<br />

are called Cyber Physical Systems (CPS) and confront<br />

the security of industrial information systems with<br />

completely new problems. The increasing potential<br />

of threats and the problem of defense against attacks<br />

are already visible.<br />

Die im Rahmen des Projektes entstandene Lösung, soll<br />

den Anwendern bei der Erfassung des Soll-Zustandes<br />

und der Überwachung des Ist-Zustandes seiner Anlage<br />

unterstützen. Hierzu wurden ein Planungswerkzeug,<br />

ein verteiltes Expertensystem, eine Sicherheitsbibliothek<br />

und eine Simulationsumgebung erstellt.<br />

In order to support the user in determining the target<br />

state and to monitor the actual state of his facility,<br />

a planning tool, a distributed expert system, a security<br />

library and a simulation environment were developed.<br />

Das Planungswerkzeug, der ESCI-Topologieeditor, dient<br />

dem Erfassen des Soll-Zustandes der Anlage. Hierzu<br />

wird aus den Planungsdaten eine graphische Übersicht<br />

erstellt, die die Topologie, die Kommunikationsflüsse<br />

und die Protokolldaten der Anlage darstellt. Auf<br />

Grundlage dieser Darstellung kann der Anwender eine<br />

sicherheitstechnische Instrumentierung seiner Anlage<br />

durchführen und die Projektierungsunterlagen um eine<br />

notwendige Sicherheitsbeschreibung ergänzen. Aus den<br />

erweiterten Projektierungsdaten wird die Beschreibung<br />

des Soll-Zustandes erstellt. (Abb. 1)<br />

Zur Überwachung des Ist-Zustandes vergleicht das<br />

plattform-unabhängige Expertensystem JavaExS den<br />

aktuellen Zustand der Anlage mit der Beschreibung des<br />

Soll-Zustandes. Hierbei weisen Abweichungen auf Anomalien<br />

hin, die zwar nicht zwingend eine Sicherheitsver-<br />

The planning tool, the ESCI topology editor, will be used<br />

to determine the target state of the facility. For this, a<br />

graphical overview will be created based on the planning<br />

information. The graphical overview illustrates the<br />

topology, the communication flows and the protocol<br />

data. Based on this overview the user can determine<br />

the security-related technical instrumentation of his facility<br />

and can supplement its planning information with<br />

the required security description. The extended planning<br />

information will be used to generate a description<br />

of the target state of its equipment. (Fig. 1)<br />

For monitoring the actual state the expert system<br />

JavaExS compares the current values of the equipment<br />

with the target state description. Deviations<br />

indicate an anomaly, which does not have to be a security<br />

violation, but can be interpreted as a strong<br />

A n n u a l R e p o r t 2 0 1 2<br />

31


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

letzung darstellen müssen, aber ein starkes Indiz hierfür<br />

sein können. JavaExS ist vollständig in Java umgesetzt<br />

und kann auf allen Geräten eingesetzt werden, die über<br />

eine J2ME-Plattform verfügen. Der verteilte Einsatz von<br />

JavaExS bildet die Grundlage der dezentralen Überwachung<br />

der Anlage. Sie erlaubt eine detaillierte Untersuchung<br />

von Systemereignissen ohne das Verhalten der<br />

Anlage zu verändern oder diese gar zu beeinträchtigen.<br />

indication of a security issuer. JavaExS is completely<br />

implemented in Java and can be used in all devices<br />

which provide a J2ME platform. The distributed operation<br />

of JavaExS is the basis of the decentralized<br />

monitoring of the facility. It allows a deep analysis of<br />

system events without changing the behavior of the<br />

equipment or even affecting it.<br />

Für eine vertrauenswürdige Ereignisübermittlung sind<br />

eine Authentifizierung der Teilnehmer und ein Integritätsschutz<br />

der übertragenen Daten notwendig. Hierzu<br />

wurde im Rahmen des Projektes eine Sicherheitsbibliothek<br />

entwickelt, die Algorithmen zum Erstellen und<br />

Prüfen von Signaturen und zum Verschlüsseln von Daten<br />

für eingebettete Systeme bereitstellt. Sie bildet in<br />

Verbindung mit dem JavaExS die Kernkomponente der<br />

verteilten Sicherheitsplattform.<br />

A trustworthy event transmission requires an authentication<br />

of participants and an integrity protection<br />

of the transmitted data. For this, a security library<br />

well suital for being used on embedded system was<br />

developed. It provides algorithms for generating<br />

and verifying signatures as well as encrypting data.<br />

In combination with the JavaExS it is the core of the<br />

distributed security platform.<br />

Darüber hinaus wurde durch eine Erweiterung der Simulationsumgebung<br />

OMNet++ eine Möglichkeit zum<br />

Validieren der sicherheitstechnischen Instrumentierung<br />

der Anlage erstellt. So können in der Simulation Protokollabläufe<br />

und Sicherheitsprofile erprobt werden, ohne<br />

in den Ablauf der IIT eingreifen zu müssen.<br />

Furthermore, an extension of the simulation environment<br />

OMNet++ was implemented that allows validation<br />

of the security-related technical instrumentation.<br />

The simulation allows testing of protocol flows<br />

and security schemes without affecting productive<br />

operations.<br />

Abb. 1: Workflow zur Erfassung des Soll-Zustandes und zur sicherheitstechnischen Instrumentierung der IIT.<br />

Fig. 1: Workflow for determination of the target state and for a security-related technical instrumentation of the IIT.<br />

32 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

MATRIX – Middleware für die Realisierung<br />

internetbasierter telemedizinischer Dienste<br />

Das Ziel des Projektes MATRIX war die Entwicklung einer<br />

offenen, auf Standards basierenden Middleware-Plattform<br />

für telemedizinische Dienste, die sich über extrem<br />

heterogene Geräteklassen vom Server, über mobile Kommunikationsgeräte<br />

bis hin zum integrierten Sensorknoten<br />

zur Aufnahme von Vital- und Umweltdaten, erstreckt.<br />

Die Plattform organisiert den Umgang mit heterogenen<br />

Netzen und bietet einen starken integrierten Schutz der<br />

Privatsphäre der Patienten bei telemedizinischen Diensten.<br />

Die tatsächliche technische Umsetzung der telemedizinischen<br />

Dienste bleibt für den Nutzer als auch für<br />

den Dienstentwickler transparent.<br />

Kernaufgabe des <strong>IHP</strong> war die Entwicklung und exemplarische<br />

Implementierung einer generischen Middleware-<br />

Plattform, die auf bestehende Infrastrukturen aufsetzt<br />

und eine durchgängige, transparente Anwendung der<br />

MATRIX-Basiskonzepte unter Wahrung aller benötigten<br />

Sicherheitsmaßnahmen zulässt. Der Aufbau des Systems<br />

ist in Abb. 2 dargestellt.<br />

MATRIX – Middleware for Realizing<br />

Internet-Based Telemedical Services<br />

The goal of this project was to develop an open and<br />

standardized middleware platform for telemedical services<br />

across very heterogeneous entities from sensors<br />

for vital and environmental parameters over handheld<br />

devices to full grown PC’s or server infrastructures.<br />

The middleware ensures connectivity over various<br />

wireless and wired networks, fully integrated strong<br />

encryption and integrated privacy protection means<br />

for telemedical services and service users. The technical<br />

implementation of such services remains hidden<br />

for patients and service providers and thereby eases<br />

the use of telemedical applications.<br />

The main task of <strong>IHP</strong> was to design and implement a<br />

generic middleware platform based on existing infrastructures<br />

that completely integrates strong security<br />

mechanisms. It allows using the basic concepts of secure<br />

end-to-end telemedical services while all technical<br />

details remain transparent for developers and users. The<br />

design of the MATRIX infrastructure is depicted in Fig. 2.<br />

Abb. 2: Aufbau des MATRIX-Systems. Das Telemedizinzentrum (TMCC) ist über das Internet mit den Gateways seiner Patienten verbunden, welche<br />

eine Menge verschiedenster Sensoren des Patienten verwalten.<br />

Fig. 2: System architecture of the MATRIX infrastructure. The telemedicine center (TMCC) connects to gateways assigned to patients that<br />

mediate and manage communication between various sensors and the TMCC.<br />

A n n u a l R e p o r t 2 0 1 2<br />

33


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Das herauszuhebende technische Ergebnis ist, dass mit<br />

Hilfe des MATRIX-Projektes eine vollständige Ende-zu-<br />

Ende Verschlüsselung zwischen medizinischen und nichtmedizinischen<br />

Sensoren und dem telemedizinischen Zentrum<br />

der Charité umgesetzt wurde. Daher ergibt sich die<br />

Möglichkeit, sichere telemedizinische Dienste auf bestehende<br />

Infrastrukturen aufzusetzen und über heterogene<br />

Netze und Geräte hinweg anzubieten.<br />

Zum Schutz von Patientendaten sichert die MATRIX-<br />

Middleware die Integrität erfasster Daten und schützt<br />

diese automatisch vor ungewollter Veränderung und<br />

nicht-autorisiertem Zugriff. Dazu verfügt die Middleware<br />

über verschiedene, integrierte Sicherheitsmechanismen,<br />

die eine Zugangskontrolle, die Datensicherheit<br />

und den Schutz der Privatsphäre durch einen geeigneten<br />

Mix aus symmetrischen (AES) und asymmetrischen<br />

(ECC) Verschlüsselungsmethoden bereitstellen.<br />

Für beide Verschlüsselungsmethoden wurden Hardware-<br />

Bausteine im <strong>IHP</strong> entworfen und in eine angepasste<br />

Variante des <strong>IHP</strong>-Krypto-Mikroprozessors integriert, der<br />

das Herzstück der MATRIX-Sensorknoten bildet.<br />

Der im <strong>IHP</strong> in 250 nm Strukturgröße gefertigte Krypto-Mikrokontroller<br />

basiert auf dem asynchronen<br />

ipms_430x-Prozessorkern der code-kompatibel zur<br />

MSP430-Mikrokontroller-Familie von Texas Instrument<br />

ist. Er besitzt eine Chipfläche von circa 16 mm 2 und<br />

kann im 16-Bit- und im 20-Bit-Modus mit erweitertem<br />

Adressraum verwendet werden. Abb. 3 zeigt das Layout<br />

des Mikroprozessors und dessen Größe im Vergleich zu<br />

einer 1-Eurocent-Münze. Verpackt wurde der Chip in<br />

einem TQFP-Gehäuse (Größe: 14x20 mm) mit 128 Pins.<br />

The outstanding technical result of the MATRIX<br />

project is that a complete end-to-end encryption<br />

between medical and non-medical sensors and the<br />

telemedicine center of the Charité was implemented.<br />

Based on this, we have given proof of evidence that<br />

setting up secure tele-medical services on existing<br />

infrastructures and their secure delivery across<br />

heterogeneous networks and devices is feasible.<br />

To ensure the protection of patient data, the MATRIX<br />

middleware automatically ensures the integrity of<br />

captured data and protects it against undesirable<br />

changes and non-authorized access. To this end,<br />

the middleware provides fully-integrated security<br />

mechanisms that provide access control, data security<br />

and privacy protection through an appropriate mix<br />

of symmetric (AES) and asymmetric (ECC) encryption<br />

methods. For both encryption methods, hardware<br />

modules designed at <strong>IHP</strong> have been integrated into<br />

an adapted version of the <strong>IHP</strong>-crypto-enabled microprocessor.<br />

This microcontroller builds the core of the<br />

MATRIX sensor nodes.<br />

This microcontroller has been manufactured using the<br />

<strong>IHP</strong> 250 nm CMOS pilot line. It is based on the asynchronous<br />

processor core ipms_430x, which is codecompatible<br />

to the MSP430 microcontroller family<br />

from Texas Instrument. It has a chip area of about<br />

16 mm 2 and can be used in 16-bit and 20-bit mode<br />

with an extended address space. Fig. 3 shows the layout<br />

of the microprocessor and its size compared to a<br />

one euro cent coin. The chip was packaged in a TQFP<br />

package (size: 14x20 mm) with 128 pins.<br />

Abb. 3: Layout des <strong>IHP</strong>-Krypto-Mikroprozessors mit Padframe von<br />

128 Pins. Zusätzlich dargestellt ist die Größe des gesamten<br />

Chips im Vergleich zu einer 1-Eurocent-Münze.<br />

Fig. 3: the layout of the <strong>IHP</strong> crypto-microprocessor with 128 pin<br />

pad frame. In addition, the total size of the cryptomicrocontroller<br />

is compared to the size of a one<br />

euro-cent coin.<br />

34 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

IR-UWB Einchip-Lösung<br />

Im Rahmen des TANDEM-Projekts wurde eine Einchip-<br />

Lösung für IR-UWB nach dem Standard IEEE 802.15.4a<br />

entwickelt. Dieses IR-UWB-Radio unterstützt eine Datenrate<br />

von 850 Kbit / s und besteht aus einem HF-Teil,<br />

einem A-D-Wandler sowie einem digitalen Basisband.<br />

Das komplette System wurde in 250-nm-BiCMOS-Technologie<br />

des <strong>IHP</strong> gefertigt. Die Funkübertragung und<br />

der Empfang erfolgen bei 7,9872 GHz. Das System stellt<br />

eine der wenigen Einchip-Lösungen für IR-UWB dar,<br />

d.h. es integriert die aufwändigen Analog- und Digitalschaltungen<br />

auf einen Chip. Die Lösung erreicht unter<br />

Laborbedingungen eine Reichweite von circa 12 m<br />

bei akzeptabler Paketfehlerrate.<br />

Der IR-UWB-Sender enthält ein digitales Basisband, welches<br />

mit einer Taktfrequenz von 31,2 MHz arbeitet und<br />

führt Kanalcodierungen wie Reed-Solomon, Faltungscodierung<br />

sowie binäre Positionsmodulation (BPM) und<br />

BPSK durch (siehe Abb.4). Mit einem 16:1-Serialisierer<br />

wird das Basisbandsignal auf 499,2 MHz gewandelt. Das<br />

Radiofrequenz-Frontend erzeugt aus diesem serialisierten<br />

digitalen Signal rechteckige Impulse mit 2 ns Dauer.<br />

Danach wird das Signal durch ein LPF gaußförmig gefiltert,<br />

um die spektralen Anforderungen zu erfüllen und<br />

zuletzt auf 7,9872 GHz hoch gemischt.<br />

Single-Chip Solution for IR-UWB<br />

Within the project TANDEM a single-chip solution for<br />

the standard IEEE 802.15.4a was developed. The IR-<br />

UWB wireless system supports a data rate of 850 Kbit / s<br />

and contains a high frequency frontend, a digital<br />

baseband and an AD converter. The complete system<br />

was fabricated using the <strong>IHP</strong>’s 250 nm BiCMOS technology.<br />

The operating frequency is 7.9872 GHz. The<br />

solution presents one of very few single-chip realizations<br />

for IR-UWB worldwide, integrating the elaborate<br />

analogue as well as digital circuit design on one<br />

chip. Initial laboratory measurements demonstrated<br />

a 12 m communication range using the IR-UWB. The<br />

packet error rate was found to be acceptable.<br />

The IR-UWB transmitter comprises a baseband which<br />

runs at a clock frequency of 31.2 MHz and performs<br />

channel coding algorithms such as Reed Solomon,<br />

convolutional coding, binary position modulation<br />

(BPM) and BPSK (see Fig. 4). With the 16:1 serializer<br />

the baseband signal is translated to a 499.2 MHz serial<br />

stream. The radio frequency frontend generates<br />

rectangular pulses of 2 ns duration. A LPF is used for<br />

shaping the rectangular pulses to Gaussian in order<br />

to make them fit to the spectrum mask set by FCC and<br />

finally the signal is up-converted to 7.9872 GHz.<br />

Abb.4:<br />

Fig. 4:<br />

IR-UWB-Sender.<br />

IR-UWB Transmitter.<br />

Abb. 5: IR-UWB-Empfänger.<br />

Fig. 5: IR-UWB Receiver.<br />

A n n u a l R e p o r t 2 0 1 2<br />

35


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Auf der Empfängerseite findet nach der Antenne eine<br />

analoge hochfrequente Signalverarbeitung statt. Dazu<br />

gehören LNA, Mischer und Verstärkungsstufe. Es wurde<br />

ein Energie-Detektionsempfänger implementiert, wobei<br />

ein analoges Empfangssignal durch einen Integrator<br />

über 16 ns integriert wird. Dies erlaubt einen etwas<br />

langsameren AD-Wandler einzusetzen, wodurch für den<br />

Empfänger eine energiesparende Lösung ermöglicht<br />

wird. Der AD-Wandler arbeitet mit einer Abtastrate von<br />

62,4 MHz und besitzt eine Auflösung von 6 Bit (Abb. 5).<br />

At the receiver side the signal is processed first with<br />

the RF frontend which contains LNA, down-converter<br />

and variable gain amplification. The reception<br />

is based on an energy detection scheme where the<br />

analogue receive signal is integrated over 16 ns. Such<br />

a detection method allows adopting a slower AD converter<br />

which improves the energy efficiency. The AD<br />

converter samples at the rate of 62.4 MHz and supports<br />

the resolution of 6 bits (see Fig. 5).<br />

Zwei parallel arbeitende Integratoren, deren Ausgänge<br />

durch einen Multiplexer geschaltet werden,<br />

liefern alle 16 ns die Integrationsergebnisse an den<br />

AD-Wandler. Solch ein Algorithmus gibt den Integratoren<br />

genügend Zeit zum Zurücksetzen. Die Integrationsergebnisse<br />

werden danach durch eine<br />

SAR-AD-Wandlung mit einer Abtastrate von 62,4 MHz<br />

und einer 6 Bit-Auflösung digitalisiert.<br />

Der digitale Basisbandempfänger arbeitet mit einer Taktfrequenz<br />

von 31,2 MHz und enthält einen Korrelator für<br />

Synchronisation, BPM-Demodulation sowie Reed-Solomon-Kanaldecodierung.<br />

Das Basisband umfasst zwei RAM-Speicher mit je<br />

256 Byte Kapazität und eine SPI-Schnittstelle. Durch<br />

die SPI-Schnittstelle werden die Pakete vom MAC in den<br />

RAM geschrieben. Mit der darauffolgenden Anweisung<br />

des Masters wird das Paket übertragen. Das empfangene<br />

Paket wird im zweiten RAM gespeichert.<br />

HF-Frontend<br />

Baseband<br />

Int&AD Converter<br />

Two parallel operating integrators are used that deliver<br />

the results of integration to the AD converter every<br />

16 ns. Switching between the two integrators is achieved<br />

with a multiplexer. Such an algorithm guarantees<br />

enough time for the integrators to discharge completely<br />

so that every integration can start from zero.<br />

The integration results are then sampled with the rate<br />

of 62.4 MHz by the SAR AD converter.<br />

The baseband receiver runs at the clock rate of<br />

31.2 MHz and contains correlators for achieving synchronization,<br />

BPM demodulation and Reed-Solomon<br />

decoding.<br />

Two RAM memories, both with the capacity of 256 bytes,<br />

are integrated into the baseband for storing the data to<br />

be transmitted and received. Additionally, the baseband<br />

has a built-in SPI interface for communicating with the<br />

outside world. Through this interface RAMs can be read<br />

and written. With a certain instruction from the master,<br />

packets can be transmitted from the RAM and the receive<br />

packet can be stored in the receive RAM.<br />

Abb. 6: Layout-Bild des Einchip-IR-UWB.<br />

Fig.6: layout photo of the single chip IR-UWB.<br />

36 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Das komplette System wurde in einen Chip integriert;<br />

das Layout ist in Abb. 6 veranschaulicht. Das Design<br />

bedarf nur einer Siliziumfläche von 3,25 x 3,25 mm 2 .<br />

Das Sendesignal, das einem Paket entspricht, ist in<br />

Abb. 7 illustriert. Das Signal besitzt eine Form, die die<br />

spektrale Emissionsbedingung von -41 dBm / MHz erfüllt.<br />

Dieses IR-UWB kann in verschiedenen Bereichen<br />

eingesetzt werden, wobei der Energieverbrauch wegen<br />

der Batterieversorgung gering sein muss. Einsatzbeispiele<br />

sind u.a. BAN (body area network)- und Sensornetzwerke<br />

mit stromsparender Funkübertragung.<br />

Für Demonstrationszwecke wurde der IR-UWB-Einchip-<br />

Transceiver in einen Sensorknoten integriert, welcher<br />

andere Komponenten wie z.B. den <strong>IHP</strong>-Krypto-Mikroprozessor<br />

und verschiedene Schnittstellen UART / SPI / I2C<br />

enthält (siehe Abb. 8). Diese Sensorknoten können für<br />

die Übertragung der Sensordaten genutzt werden.<br />

The complete system was realized on a single chip as<br />

visualized in Fig. 6 which only requires a silicon area<br />

of 3.25 x 3.25 mm 2 .<br />

The transmit signal waveform corresponding to<br />

one packet is illustrated in Fig. 7. As can be seen<br />

the signal possesses a suitable shape and satisfies<br />

the requirements of the spectrum mask which is<br />

-41.3 dBm / MHz. The single chip IR-UWB can be<br />

applied in a number of areas where battery powered<br />

devices are of interest and energy consumption<br />

should be low. Examples of such areas include BAN<br />

(body area network), sensor networks and many<br />

others which require energy saving wireless communication.<br />

For demonstration purposes the IR-UWB<br />

chip was integrated into a sensor node from <strong>IHP</strong><br />

with an <strong>IHP</strong> crypto-microprocessor, which encompasses<br />

other components such as microcontrollers,<br />

several other interfaces like UART / SPI / I2C and<br />

memory elements (see Fig. 8). These nodes can be<br />

applied for transmitting data from sensor nodes.<br />

Abb. 7: IR-UWB-Sendesignal am Eingang der Antenne.<br />

Fig. 7: IR-UWB Transmit signal waveform at the input<br />

to the antenna.<br />

Abb. 8: Demonstrator mit <strong>IHP</strong>-Krypto-Mikroprozessor und<br />

Einchip IR-UWB.<br />

Fig. 8: Demonstrator with <strong>IHP</strong> crypto-microprocessor and<br />

IR-UWB single chip.<br />

A n n u a l R e p o r t 2 0 1 2<br />

37


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Middleware-Switch-ASIC<br />

Ziel des Projektes war es, mit Hilfe einer speziellen ASIC-<br />

Entwicklung den Aufbau neuartiger netzwerkzentrischer<br />

Systemkonzepte zu ermöglichen. Grundlage dafür bildete<br />

das von der DLR in Bremen entwickelte „Spacecraft Area<br />

Network“ (SCAN).<br />

Eine solche für Raumfahrtanwendungen entwickelte<br />

Systemarchitektur ist in der Lage, ständig wachsende<br />

Anforderungen an die Systemzuverlässigkeit mit einem<br />

gleichzeitig niedrigen Kostenaufwand zu verbinden.<br />

Dieses Ziel wird hauptsächlich dadurch erreicht, dass<br />

eine hochzuverlässige zentrale Schaltkomponente,<br />

der sogenannte Middleware-Switch, mit weniger zuverlässigen,<br />

dafür aber redundanten Komponenten in<br />

effizienter Weise verbunden wird (Abb. 9). Diese so<br />

verbundenen Komponenten sind als „commercial-offthe-shelf“<br />

(COTS)-Komponenten kostengünstig und<br />

ohne zusätzlichen Entwicklungsaufwand oder etwaige<br />

US-Exportrestriktionen (ITAR) auf dem freien Markt<br />

verfügbar. Außerdem kann eine solche Architektur<br />

sehr einfach und flexibel für unterschiedlichste Anwendungen<br />

konfiguriert werden.<br />

Middleware-Switch ASIC<br />

The project goal was to enable the construction of<br />

an innovative network centric system concept, using<br />

a special ASIC development. The concept is based<br />

on the “Spacecraft Area Network” (SCAN) approach,<br />

developed by DLR in Bremen.<br />

Such a system architecture, which was developed for<br />

space applications, has the ability to simultaneously<br />

answer the constantly growing requirements regarding<br />

high system-reliability and low cost development.<br />

This objective is mainly achieved by the fact that a<br />

highly reliable central unit, the so-called Middleware-<br />

Switch, is connected with less reliable, but redundant<br />

components in an efficient way. The SCAN system<br />

architecture, together with Middleware Switch is<br />

represented in Fig. 9. These less-reliable components,<br />

connected in the mentioned way are known as „commercial-off-the-shelf“<br />

(COTS) – components. They<br />

are cost-effective and available on the free market<br />

without additional development requirements or any<br />

US-export restrictions. Furthermore, such an architecture<br />

can also be very easily and flexibly configured<br />

for a wide range of applications.<br />

Abb. 9: Die SCAN-Systemarchitektur.<br />

Fig. 9: the SCAN system architecture.<br />

38 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Während der Projektlaufzeit wurde die zentrale SCAN-<br />

Komponente, der Middleware-Switch, im <strong>IHP</strong> entwickelt<br />

und hergestellt. Dabei handelt es sich um eine noch<br />

nicht strahlungsfeste Evaluierungsversion, die zunächst<br />

für umfangreiche Systemtests dienen soll. Der Switch<br />

selbst besitzt eine große Zahl an seriellen Schnittstellen<br />

für die Kommunikation zwischen den angeschlossenen<br />

Komponenten. Um eine reibungslose Kommunikation<br />

zu ermöglichen, müssen die unterschiedlichen<br />

Schnittstellen flexibel konfigurierbar sein. Außerdem<br />

werden die sehr unterschiedlichen Protokolle der ankommenden<br />

Datenströme automatisch in ein einheitliches<br />

internes Format konvertiert, um das problemlose<br />

Routing der Daten zu gewährleisten. Vor dem Versenden<br />

erfolgt die Protokoll-Rückkonvertierung passend<br />

für die jeweilige Zielkomponente. Um den unterschiedlichen<br />

Übertragungsgeschwindigkeiten besser gerecht<br />

zu werden, wurden sowohl ein großer Crossbar-Switch,<br />

als auch mehrere DMA-Kanäle vorgesehen (Abb. 10).<br />

During the project phase, the central component of<br />

the SCAN system, the Middleware-Switch, was developed<br />

and produced in the <strong>IHP</strong>. The produced processor<br />

was used for extensive system tests and therefore<br />

was not yet a radiation hardened version. The<br />

switch itself has a large number of serial ports in order<br />

to provide communication with the connected components.<br />

In order to allow smooth communication, the<br />

different interfaces must be flexible and configurable.<br />

In addition, extremely different protocols of the incoming<br />

data streams are automatically converted<br />

into a uniform internal format, in order to provide<br />

easy data routing. Before data sending, protocol conversion<br />

suitable for the respective target component<br />

takes place. In order to provide support for the different<br />

transmission speeds, the processor implements a<br />

large crossbar switch as well as several DMA-channels.<br />

The block diagram of the Middleware is shown in the<br />

Fig. 10.<br />

Abb. 10: Blockschaltbild des Middleware-Switch-ASIC‘s.<br />

Fig. 10: Block Diagram of the Middleware Switch ASIC.<br />

A n n u a l R e p o r t 2 0 1 2<br />

39


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Die Vermittlung zwischen den Komponenten erfolgt<br />

nach einem sogenannten Publisher-Subscriber Modell.<br />

Hierbei werden alle Nachrichten mit einer Topic-ID versehen,<br />

die den Inhalt der Nachricht klassifiziert. Der<br />

Switch verwaltet eine Topic-ID Liste, um ankommende<br />

Nachrichten (vom Publisher) den richtigen Empfängern<br />

(den Subscribern) zuordnen zu können. Einfache<br />

Komponenten wie Sensoren müssen daher nicht das<br />

Ziel ihrer Sensordaten kennen. Es genügt, die Nachricht<br />

mit einer ID zu versehen, die den Inhalt (zum Beispiel<br />

„Temperatur von Gerät x“) kennzeichnet. Bei sehr einfachen<br />

Sensoren, die nur ihre Sensordaten senden können,<br />

kann diese Topic-ID auch im Middleware-Switch für<br />

den entsprechenden Port vorkonfiguriert werden.<br />

Der ASIC verfügt über eine 32-bit Leon-2 CPU mit<br />

2x4 kByte Cache, 18 DMA-Kanäle, einen 7x7 Crossbar-<br />

Switch, 14x2 kByte Fifo’s, 14 serielle Vollduplex-IO-Ports<br />

(bis 50 Mbit / s), eine SRAM-Schnittstelle mit Fehlerkorrektur<br />

sowie mehrere Timer und ein Debug-Interface. Die<br />

Herstellung des ASIC’s erfolgte in der <strong>IHP</strong> SGB25V-Technologie<br />

(Abb. 11). Die Chipfläche beträgt 64 mm 2 und<br />

die Montage erfolgte in ein CQFP-Keramikgehäuse mit<br />

208 Pins. Die erfolgreichen Tests ergaben bei 100 MHz<br />

Taktfrequenz eine maximal aufgenommene Leistung von<br />

etwa 2.5 W.<br />

The message distribution system is based on a publisher<br />

/ subscriber model. Here, each message has its<br />

own message topic identifier (TID), which classifies<br />

the contents of the message. The switch maintains a<br />

topic ID list in order to provide a correct transfer of the<br />

incoming messages (from the publisher) to the proper<br />

recipients (the subscriber). Simple components<br />

such as sensors don‘t need to know the destination of<br />

the collected data. It is sufficient to identify the content<br />

of a message (for example, “Temperature of the<br />

device X”) with a TID. For very simple sensors, which<br />

can send only the related sensor data, the Topic-ID<br />

can be preconfigured directly in the corresponding<br />

Middleware Switch port.<br />

The ASIC implements a 32-bit Leon-2 CPU with<br />

2x4 Kbyte cache, 18 DMA-channels, a 7x7 Crossbar-<br />

Switch, 14x2 Kbyte FIFOs, 14 serial full-duplex-IO-<br />

Ports (max 50 Mbit / s), a SRAM-Interface with error<br />

correction as well as Timers and Debug-Interface. The<br />

ASIC was produced in the <strong>IHP</strong> SGB25V technology<br />

(Fig. 11). The chip area is 64 mm 2 , packaged in the<br />

ceramic CQFP package with 208 pins. The chip was<br />

successfully tested at the clock frequency of 100 MHz<br />

and power supply of 2.5 W.<br />

Fig. 11: Chip Photo of the Middleware Switch.<br />

Abb.11: Chipfoto des Middleware-Switch‘s.<br />

40 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

12-Bit 1,5 GS / s Digital-Analog-Wandler-<br />

Prototyp für Raumfahrtanwendungen<br />

Bei der Hochgeschwindigkeits-Datenübertragung, wie<br />

z.B. optischen, Radar- oder Satelliten-Kommunikationssystemen,<br />

werden Digital-Analog-Wandler (DAC)<br />

mittlerer Auflösung (4 – 12 Bits) und Abtastraten von<br />

0,5 – 10 GHz zum Einsatz kommen. Zu den Anwendungsgebieten<br />

gehören Basisband-D / A-Signal-Umsetzung,<br />

Signal-Erzeugung in Ultra Breitband (UWB)-Kommunikationssystemen<br />

und die direkte digitale Synthese<br />

(DDS). Die nächste Generation von Telekommunikations-Satelliten<br />

wird neben einem Space-Borne-Data-<br />

Relay auch über die Möglichkeit der Datenverarbeitung<br />

verfügen und Beam-Forming enthalten, um Antennensignale<br />

in Gebiete zu lenken, die einen hohen Bedarf an<br />

Datenrate haben. Hierzu muss die Satelliten-Nutzlast<br />

äußerst flexibel ausgelegt sein. Daher sind neue weltraumgeeignete,<br />

strahlungsharte Komponenten erforderlich.<br />

Eine der Kernkomponenten ist ein hochpräziser<br />

Hochgeschwindigkeits-DAC.<br />

A 12-Bit 1.5 GS / s Digital-to-Analog-Converter<br />

Prototype for Space Applications<br />

In high speed data links, e.g. optical, radar or satellite<br />

communication systems, medium resolution<br />

(4 – 12 bits) digital-to-analog converters (DAC) with<br />

sampling rates of 0.5 – 10 GHz will be in use. Application<br />

examples include baseband D / A signal conversion,<br />

signal generation in ultra wideband (UWB)<br />

communication systems, and also direct digital synthesis<br />

(DDS). Next generation telecom satellites will<br />

not only provide space-borne data relay, but will also<br />

allow data processing and make use of beam forming<br />

techniques to direct the antenna beam to areas with<br />

high capacity demand. To achieve these goals, the<br />

satellite payload must be highly flexible. Therefore,<br />

new space-qualified radiation-hardened components<br />

are necessary. One of the key components is a highprecision<br />

and high-speed DAC.<br />

Abb. 12: Die Testplatine (bereitgestellt von Projektpartner Kayser-Threde GmbH) für den Test des 12-Bit DAC-Chip-Prototypen (im Gehäuse).<br />

Fig. 12: Testboard (supplied by the project partner Kayser Threde GmbH) for a 12 bit DAC chip (in the housing).<br />

A n n u a l R e p o r t 2 0 1 2<br />

41


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Das Ziel dieses Projekts war es, einen weltraumgeeigneten<br />

12-Bit 1,5 GS / s DAC-Prototypen mit integrierter<br />

Kalibrierung für die nächste Generation von digitaler<br />

Kommunikations-Nutzlast zu entwerfen. Der entwickelte<br />

DAC-Prototyp-Chip unterstützt bis zu vier 12-Bit-Eingangs-Datenkanäle<br />

mit internem Multiplexing. Der<br />

Ausgangs-Spannungshub und viele weitere Parameter<br />

sind mittels SPI-Schnittstelle digital einstellbar. Zu<br />

Testzwecken wurden mehrere Testfunktionen wie ein<br />

Rampengenerator, statische Register etc. direkt auf<br />

dem Chip integriert.<br />

Dieses Projekt wurde von der Europäischen Raumfahrtbehörde<br />

finanziert und zusammen mit der Kayser-Threde<br />

GmbH München und der AdviCo GmbH Recklinghausen<br />

durchgeführt.<br />

The goal of this project was to develop a space-qualified<br />

12-bit 1.5 GS / s DAC prototype with build-in cali<br />

bration for the next generation of digital telecommunication<br />

payloads. The developed DAC prototype chip<br />

supports up to four 12-bit input-data channels, which<br />

are internally multiplexed. The output voltage swing<br />

as well as many other parameters can be digitally adjusted<br />

via SPI interface. For testing purposes, several<br />

build-in test functions were directly implemented on<br />

the chip, such as a ramp generator, static registers,<br />

etc.<br />

This project was supported by European Space Agency<br />

and performed in the cooperation with Kayser-Threde<br />

GmbH Munich and AdvICo GmbH Recklinghausen.<br />

Abb. 13: Die Einzelton SFDR-(Spurious Free Dynamic Range)<br />

Messung bei 100 MHz.<br />

Fig. 13: The single-tone SFDR (Spurious Free Dynamic Range)<br />

measurement at 100 MHz.<br />

Abb. 14: Das 100 MHz Sinus-Signal bei unterschiedlichen Hüben<br />

des Ausgangssignals mit 1,5 GS / s Abtastrate.<br />

Fig. 14: The 100 MHz sinusoidal signal sampled at 1.5 GS / s at<br />

various output signal swings.<br />

42 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Flexibler Breitbandsynthesizer<br />

für Raumfahrtanwendungen<br />

Ziel des Projektes ist es, einen programmierbaren<br />

8–12 GHz-Frequenzsynthesizer zu entwickeln, der eine<br />

hohe spektrale Reinheit aufweist.<br />

Flexible Nutzlasten in der Satellitenkommunikation<br />

erfordern einen Frequenzsynthesizer, der über einen<br />

großen Frequenzbereich in sehr kleinen Schritten abgestimmt<br />

werden kann. Gleichzeitig müssen Phasenrauschen<br />

und Seitenbänder (Spurs) sehr niedrig sein,<br />

um eine gute Signalqualität zu erzielen. Des Weiteren<br />

müssen die Schaltkreise robust gegenüber Strahlung,<br />

Temperaturschwankungen und Alterung sein.<br />

Flexible Wideband Synthesizer<br />

for Space Applications<br />

The goal is to develop a programmable 8–12 GHz frequency<br />

synthesizer, which shows a high spectral purity.<br />

Flexible payloads for satellite communications require<br />

a frequency synthesizer which can be tuned in very<br />

small steps over a wide frequency range. At the same<br />

time, phase noise and spurious tones (spurs) must<br />

be very low to allow a good signal quality. Moreover,<br />

the circuits must be robust with respect to radiation,<br />

temperature variations and aging.<br />

Gemeinsam mit der Kayser-Threde GmbH und der IMST<br />

GmbH wurde hierzu ein Chip entwickelt, der in Abb. 15<br />

gezeigt ist. Er basiert auf zwei integrierten spannungsgesteuerten<br />

Oszillatoren (VCO), die in einer Phasenregelschleife<br />

(fractional-N PLL) eingebettet sind.<br />

Die VCOs wurden mittels SiGe-HBTs auf ein geringes<br />

Phasenrauschen optimiert. Die Frequenzteiler wurden<br />

in ECL-Logik entworfen, während die langsameren Digitalbausteine<br />

in CMOS entworfen wurden. Das geringe<br />

Spur-Niveau und die Robustheit bezüglich Parameterschwankungen<br />

wurde durch eine neuartige PLL-Architektur<br />

erzielt [IEEE TCAS1, vol. 57(8), 2010, p. 1914].<br />

Together with the Kayser-Threde GmbH and the IMST<br />

GmbH we developed a chip shown in Fig. 15. The chip<br />

is based on a set of two integrated voltage-controlled<br />

oscillators (VCO) embedded in a fractional-N phaselocked<br />

loop (PLL).<br />

The VCOs were optimized for a low phase noise by<br />

using SiGe-HBTs. The frequency divider was designed<br />

in ECL logic, whereas the low-speed digital blocks<br />

were designed in CMOS. The low level of spurs was<br />

achieved by using a novel PLL architecture [IEEE<br />

TCAS1, vol. 57(8), 2010, p. 1914].<br />

Abb. 15: Chipphoto.<br />

Fig. 15: Chip photograph.<br />

A n n u a l R e p o r t 2 0 1 2<br />

43


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Abb. 16 zeigt die 8 Frequenzbänder eines der VCOs, welche<br />

den geforderten Abstimmbereich von 10–12 GHz<br />

ausreichend überdecken. Außerdem ist ein typisches<br />

Ausgangsspektrum in fractional-N Modus dargestellt.<br />

Zur Minimierung des Phasenrauschens wurde ein programmierbarer<br />

Offsetstrom am Ausgang der Ladungspumpe<br />

implementiert. Er ermöglicht eine Optimierung des Phasendetektors<br />

auf hohe Linearität. Im Ergebnis lassen sich<br />

Phasenfehler am PLL-Ausgang von etwa 1° RMS erzielen,<br />

wie in Abb. 17 gezeigt ist. Aufgrund der neuartigen PLL-<br />

Architektur ist dieses Verhalten nahezu unabhängig von<br />

Temperatur und Alterung. Der Chip ist voll funktionsfähig<br />

im Temperaturbereich von -30 bis 100°C.<br />

Fig. 16 shows the 8 frequency bands of one of the<br />

two VCOs covering the required tuning range from 10<br />

to 12 GHz with sufficient margin. Moreover, a typical<br />

output spectrum in fractional-N operation is shown.<br />

To minimize the phase noise a programmable offset<br />

current was added to the charge pump output. It<br />

allows the optimization of the PLL phase detector<br />

with respect to linearity. As a result, rms phase errors<br />

around 1° can be achieved at the PLL output, as<br />

shown in Fig. 17. Due to the novel PLL architecture,<br />

this behavior is nearly independent of temperature<br />

and aging. The chip is fully functional between -30<br />

and 100°C.<br />

Abb. 16: Ausgangsfrequenz eines der zwei VCOs und typisches PLL Ausgangsspektrum.<br />

Fig. 16: Output frequency of one of the two VCOs and typical PLL output spectrum.<br />

Abb. 17: Integrierter Phasenfehler als Funktion der Ladungspumpenströme.<br />

Fig. 17: Integrated phase error as a function of charge pump currents.<br />

44 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

80 Gb / s Datenentscheider<br />

mit Rückkopplungsschleife<br />

Die Datenraten bei Glasfaserkommunikationsverbindungen<br />

wachsen kontinuierlich um die erhöhten Datenströme<br />

des Internets zu bewältigen. Es wird erwartet,<br />

dass sich die Datenraten der Ethernetverbindungen<br />

innerhalb der nächsten vier Jahre im Vergleich zu den<br />

jetzigen Bit-Raten des 100GbE-Standards um einen<br />

Faktor vier erhöhen. Eine der größten Hindernisse für<br />

Glasfaserkommunikationssysteme bei so hohen Datenraten<br />

ist die Intersymbol-Interference (ISI). Die ISI ist<br />

eine Art Verzerrung des gesendeten Signals, die zu Fehlern<br />

bei der empfängerseitigen Bit-Entscheidung führt.<br />

Elektronische Equalizer werden eingesetzt um den ISI-<br />

Effekt abzuschwächen und dadurch höhere Datenraten<br />

unterstützen zu können.<br />

80 Gb / s Decision Feedback Equalizer<br />

The data rate of fiber optic communication links is<br />

continuously growing to cope with the increasing<br />

internet traffic. It is expected that in the course of<br />

the next four years, the data rate of Ethernet links will<br />

increase by a factor of four compared with the current<br />

bit rate of the 100GbE standard, that is 400 Gb / s. A<br />

main obstacle for operation at such high data rates<br />

in fiber optic communications systems is intersymbol<br />

interference (ISI). ISI is a form of distortion of the<br />

transmitted signal, which leads to errors in bit decision<br />

on the receiver side. Electronic equalizers are used<br />

to mitigate the effect of ISI, thus supporting higher<br />

bit rates.<br />

Das Ziel dieses Projekts war das Design eines elektronischen<br />

1-tap Datenentscheiders mit Rückkopplungsschleife<br />

(DFE) zur Unterdrückung der ISI bei Datenraten<br />

von 80 Gb / s.<br />

The goal of the project was to design an electronic<br />

1-tap decision feedback equalizer (DFE) to enable ISI<br />

mitigation for 80 Gb / s data rates.<br />

Abb. 18: 80 Gb / s Datenentscheider mit Rückkopplungsschleife.<br />

Fig. 18: 80 Gb / s Decision Feedback Equalizer.<br />

A n n u a l R e p o r t 2 0 1 2<br />

45


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Im Rahmen dieses Projektes, das durch die Deutsche<br />

Forschungsgemeinschaft (DFG) finanziert wurde, entwickelten<br />

die Universität Paderborn und das <strong>IHP</strong> eine<br />

neue DFE-Architektur, die das Problem von Rückkopplungsverzögerungen,<br />

das begrenzende Kriterium in<br />

DFE-Entwürfen für hohe Bit-Raten, überwindet. Zwei<br />

parallele Datenpfade und zwei separate Rückkopplungsschleifen<br />

kommen für die Übertragung von geraden<br />

und ungeraden Bits zum Einsatz um die Bitrate im<br />

einzelnen Pfad zu verringern. Die halbe Bitrate ergibt<br />

sich hierdurch am Eingang. Es wurde ein integrierter<br />

Chip (Abb.18) entwickelt und in der <strong>IHP</strong>-SG13S-<br />

0,13 µm-Technologie hergestellt, der auf der beschriebenen<br />

Architektur basiert.<br />

In a project funded by the German Research Foundation<br />

(DFG), the University of Paderborn and <strong>IHP</strong> developed<br />

a new architecture for DFEs to overcome the<br />

feedback delay, which presents the bottleneck in DFE<br />

design for high bit rates. Two parallel data paths and<br />

two separate feedback loops are used for the even<br />

and odd bits to decrease the bit rate within each of<br />

them to half the bit rate at the input. Based on this<br />

architecture, an integrated chip has been designed<br />

and fabricated in <strong>IHP</strong> SG13S 0.13 µm technology. The<br />

Chip is shown in Fig. 18.<br />

Des Weiteren wurde ein Modul zur Charakterisierung<br />

des Chips entwickelt und im Prototypenlabor des <strong>IHP</strong>s<br />

hergestellt. Das Modul wurde in den Bell Labs, Alcatel-<br />

Lucent durch Messungen bei voller Datenrate von<br />

80 Gb / s getestet. Die Messkonfiguration zeigt Abb.<br />

19. Dabei wurden durch einen Daten-Signal-Emulator<br />

(DSE) elektronische und opto-elektronische ISI erzeugt.<br />

Der DFE ermöglicht Datenübertragung mit einer<br />

Bitfehlerrate (BER) unterhalb von 10 – 9 .<br />

A module for measuring the chip was designed and<br />

fabricated in the <strong>IHP</strong> prototype lab. The module was<br />

sent to Bell Labs, Alcatel-Lucent for full rate 80 Gb / s<br />

measurement. The measurement setup is shown in<br />

Fig. 19, where a data signal emulator (DSE) generated<br />

electronic and opto-electronic ISI. The DFE enables<br />

data transmission with a bit error rate (BER) below<br />

10 – 9 .<br />

Abb. 19: Messkonfiguration bei voller Datenrate von 80 Gb / s.<br />

Fig. 19: Measurement setup at the full rate of 80 Gb / s.<br />

46 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

DOTSEVEN<br />

Gemeinsam mit 14 Partnern aus 6 europäischen Ländern<br />

beteiligt sich das <strong>IHP</strong> am Projekt DOTSEVEN.<br />

Mit dem von der EU geförderten Projekt wird das Ziel<br />

verfolgt, die maximale Grenzfrequenz von Silizium-<br />

Germanium-Hetero-Bipolartransistoren (SiGe-HBTs)<br />

auf 0.7 Terahertz (= 700 Gigahertz) zu steigern und die<br />

Leistungsfähigkeit solcher Transistoren in Schaltungen<br />

und auf Systemebene zu demonstrieren. Das mit einer<br />

Laufzeit von 3½ Jahren geplante Projekt DOTSEVEN<br />

startete am 1. Oktober <strong>2012</strong>. Es schließt in Zielrichtung<br />

und Aufgabenstruktur an das 2011 erfolgreich beendete<br />

DOTFIVE-Projekt an.<br />

DOTSEVEN<br />

Together with 14 partners from 6 European countries<br />

<strong>IHP</strong> participates in the project DOTSEVEN. This EU<br />

funded project aims to increase the maximum cutoff<br />

frequency of Silicon-Germanium Hetero-Bipolar-<br />

Transistors (SiGe HBTs) to 0.7 terahertz (=700 gigahertz)<br />

and to demonstrate the performance potential<br />

of such transistors on a circuit and system level. The<br />

DOTSEVEN project, envisaged for a period of 3½ years,<br />

started on October 1, <strong>2012</strong>. It follows up on the DOT-<br />

FIVE project which was finished successfully in 2011.<br />

Im Ergebnis von DOTFIVE konnten erstmals SiGe-HBTs<br />

mit einer maximalen Schwingfrequenz (f max<br />

) von 500 GHz<br />

sowie einem neuen Rekordwert für die CML-Ringoszillator-Gatterverzögerungszeit<br />

von 1,9 ps vorgestellt<br />

werden (Abb. 20). Außerdem gelang die Entwicklung<br />

von Schaltkreisen mit Arbeitsfrequenzen bis 1 THz.<br />

Damit sind SiGe-HBTs interessante Kandidaten für Anwendungen<br />

im mm- und sub-mm-Wellenlängenbereich,<br />

wozu z.B. drahtlose Punkt-zu-Punkt-Kommunikationssysteme<br />

bei >120 GHz, extrem breitbandige Analog-<br />

Digital-Konverter, optische Übertragungssysteme bei<br />

400 Gb / s, hochauflösendes Autoradar bei 140 GHz,<br />

mobile Kommunikationssysteme der 4. Generation oder<br />

Sensor- und bildgebende Systeme für Industrie, Medizin<br />

sowie Sicherheitstechnik zählen.<br />

In this project, SiGe HBTs with a maximum oscillation<br />

frequency (fmax) of 500 GHz were presented for the<br />

first time including a new record for the CML ringoscillator<br />

gate-delay with 1.9 ps (Fig. 20). Moreover,<br />

the development of circuits operating up to 1 THz<br />

was successful. Thus, SiGe HBTs are promising candidates<br />

for applications in the (sub)mm-wavelength<br />

range, including e.g. wireless point-to-point communication<br />

systems at >120 GHz, extremely broadband<br />

analog-to-digital converters, optical transmission<br />

systems at 400 Gb / s, high-resolution automotive radar<br />

at 140 GHz, mobile communication systems of the<br />

fourth generation or sensing and imaging systems for<br />

industrial, medical or safety purposes.<br />

Abb. 20: Gatterverzögerungszeiten von CML-Ringoszillatoren der<br />

auf der IEDM-Konferenz 2008 bzw. auf der BCTM-Konferenz<br />

2011 vorgestellten Generationen des am <strong>IHP</strong> entwickelten<br />

SiGe-Hetero-Bipolartransistors (HBT) mit selektiver Basis-<br />

Epitaxie. Mit minimalen Werten von 2,5 ps bzw. 1,9 ps<br />

wurden in beiden Fällen jeweils Rekordwerte präsentiert.<br />

Fig. 20: Gate-Delay-Times measured at CML Ring-Oscillators<br />

presented at the IEDM conference in 2008 and at the<br />

BCTM conference in 2011, respectively. The minimum<br />

delay times of 2.5 ps and 1.9 ps, respectively, achieved<br />

with two subsequent generations of <strong>IHP</strong>‘s SiGe Hetero-<br />

Bipolartransistors (HBT) with selective base-epitaxy,<br />

represented record values at these times.<br />

A n n u a l R e p o r t 2 0 1 2<br />

47


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Ausgangspunkt für die Arbeiten am <strong>IHP</strong> im Rahmen von<br />

DOTSEVEN sind zwei Technologieentwicklungen, die in<br />

den Jahren 2011 und <strong>2012</strong> der Öffentlichkeit vorgestellt<br />

wurden. Zum einen konnten auf Basis eines neuen<br />

HBT- Konzeptes mit selektiver Basis-Epitaxie (Abb. 21)<br />

auf dem Bipolar / BiCMOS Circuits and Technology Meeting<br />

(BCTM) 2011 Leistungswerte präsentiert werden,<br />

die der DOTFIVE-Zielstellung entsprechen.<br />

Starting point for the work in DOTSEVEN at <strong>IHP</strong> are<br />

two technological developments that were published<br />

in the years 2011 and <strong>2012</strong>. First, based on a novel<br />

HBT concept with selective base epitaxy (Fig. 21),<br />

performance values corresponding to the DOTFIVE<br />

target were presented at the Bipolar / BiCMOS Circuits<br />

and Technology Meeting (BCTM) 2011.<br />

Die Erkundung der Leistungsreserven dieser Konstruktion<br />

gemeinsam mit dem Projektpartner Infineon ist einer<br />

der Schwerpunkte für das <strong>IHP</strong>. Zum anderen wird die<br />

0,13-µm-BiCMOS-Technologie SG13G2 den DOTSEVEN-<br />

Partnern für Schaltungspräparationen zur Verfügung<br />

gestellt. Der in SG13G2 implementierte HBT-Modul<br />

wurde im Rahmen des DOTFIVE-Projektes im 0,25-µm-<br />

Technologieniveau entwickelt und anschließend in die<br />

0,13-µm-BiCMOS-Plattform überführt (siehe auch <strong>IHP</strong>-<br />

<strong>Jahresbericht</strong> 2011). Inzwischen wird dieser Prozess<br />

auch über den <strong>IHP</strong>-MPW-Service angeboten.<br />

The exploration of the potential performance improvements<br />

together with the project partner<br />

Infineon is one of the priorities for <strong>IHP</strong>. Secondly,<br />

the 0.13 μm BiCMOS technology SG13G2 will be made<br />

available to the DOTSEVEN partners for circuit preparations.<br />

The HBT module implemented in SG13G2<br />

results was developed within the DOTFIVE project<br />

in the quarter micron level and subsequently transferred<br />

to the 0.13 µm BiCMOS platform (see also <strong>IHP</strong>’s<br />

Annual Report 2011). Meanwhile, this process is also<br />

offered via <strong>IHP</strong>’s MPW service.<br />

Polykristalliner Basisanschluss<br />

Poly-Crystalline Base Link<br />

Einkristalliner Basisanschluss<br />

Single-Crystalline Base Link<br />

Abb. 21: TEM-Aufnahmen des inneren Bereiches des SiGe-Hetero-Bipolartransistors (HBT) mit selektiver Basis-Epitaxie im Querschnitt. Eine der<br />

wesentlichen Verbesserungen der 2011 vorgestellten Generation im Vergleich zu 2008 ist der einkristallin hergestellte Basisanschluss.<br />

Fig. 21: TEM cross-section pictures of the innner SiGe-Hetero-Bipolar-Transistor (HBT) with selective base-epitaxy. One of the fundamental<br />

improvements of the 2011 generation compared to 2008 is the single-crystalline base-link region.<br />

48 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Photonics Electronics Functional Integration<br />

on CMOS (HELIOS)<br />

Einen Schwerpunkt der gegenwärtigen Forschung und<br />

Entwicklung bilden integrierte photonische Technologien,<br />

basierend auf der Vereinigung von Silizium-IC-<br />

Elektronik mit integrierter Optik (Siliziumphotonik).<br />

Nachdem die Funktionalität verschiedener siliziumphotonischer<br />

Basiskomponenten wie Detektoren, Koppler<br />

etc. bereits erfolgreich demonstriert wurde, sollte<br />

im EU-finanzierten Projekt HELIOS eine neue Qualität<br />

erreicht werden: die Integration von photonischen<br />

Komponenten mit integrierten Schaltkreisen. Das Ziel<br />

dieses komplexen Projekts bestand in der Integration<br />

von Photonik in einem mikroelektronischen Fabrikationsprozess.<br />

Photonics Electronics Functional Integration<br />

on CMOS (HELIOS)<br />

Current research and development activities focus on<br />

integrated photonics technologies, in particular on<br />

the convergence of silicon IC technology and integrated<br />

optics (silicon photonics).<br />

Functional demonstration of basic building blocks<br />

such as lasers, detectors, couplings etc. has been<br />

realized. In the project HELIOS, financed by EU, a<br />

new quality was aspired: the integration of photonic<br />

components with integrated circuits. The objective<br />

of this complex project is the combination of photonics<br />

with CMOS using a microelectronics fabrication<br />

process.<br />

Die am <strong>IHP</strong> durchgeführten Projektarbeiten hatten<br />

die Herstellung eines Modulators und Integration mit<br />

einem SiGe-Treiber für einen Demonstrator zum Gegenstand.<br />

Die Arbeiten erfolgten in Kooperation mit den<br />

Partnern Photline Technologies, TU Berlin, TU Wien und<br />

Universität Southampton.<br />

Project activities at <strong>IHP</strong> were the development of<br />

silicon photonic modulators and a SiGe driver demonstrator<br />

in cooperation with the following project<br />

partners: Photline Technologies, TU Berlin, TU Vienna,<br />

University of Southampton.<br />

In der ersten Projektphase wurde ein Mach-Zehnder-<br />

Modulator-Chip ohne Treiberkomponente entwickelt.<br />

Design und Herstellungsprozess basieren auf der<br />

SG25-<strong>IHP</strong>-Technologie unter Verwendung des kompletten<br />

BEOL (Backend-of-Line), bestehend aus einer<br />

5-Ebenen-Metallisierung, womit die Kompatibilität von<br />

Modulator-Design und <strong>IHP</strong>-Basistechnologie demonstriert<br />

werden konnte. Abb. 22 zeigt den Chip mit verschiedenen<br />

Modulatordesigns.<br />

During the first project period a stand-alone Mach-<br />

Zehnder modulator chip without the RF SiGe driver<br />

amplifier was realized. The device was designed and<br />

fabricated using <strong>IHP</strong>’s SG25 technology with the<br />

complete 5 metal layers BEOL module. This demonstrated<br />

the compatibility of the modulator design<br />

with boundary conditions of the BEOL technology.<br />

Fig. 22 illustrates the chip with different modulator<br />

designs.<br />

Abb. 22: Modulator-Chip.<br />

Fig. 22: Modulator chip<br />

(MZI 1 - 4mm length CPW 1 ;<br />

MZI 2 - 4mm length CPW 2;<br />

MZI 3 (&4) - 2mm length CPW ;<br />

MZI 5 (&6) - 6mm length CPW 1;<br />

MZI 7 (&8) - 1mm length CPW 2;<br />

MZI 9 (&10) - 1mm length CPW 1).<br />

A n n u a l R e p o r t 2 0 1 2<br />

49


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Die Augendiagramme (Abb. 23 und 24) zeigen, dass<br />

die Modulatoren bei 10 Gb / s und sogar bei 20 Gb / s<br />

eine ausreichende Bandbreite haben.<br />

Modulators were characterized and showed sufficient<br />

bandwidth for 10 Gb / s and even 20 Gb / s operations<br />

(see eye diagrams in Fig. 23 and Fig. 24).<br />

Abb. 23: 10 Gb / s-Augendiagramm eines Modulators.<br />

Fig. 23: 10 Gb / s eye diagram of a modulator.<br />

electrodes in TopMetal 2<br />

(Measurement: Photline).<br />

Abb. 24: 20 Gb / s-Augendiagramm eines 1 mm Modulators.<br />

Fig. 24: 20 Gb / s eye diagram of a 1 mm modulator.<br />

electrodes in TopMetal 2; ER = 4.5 dB<br />

(Measurement: University of Southampton).<br />

In der finalen Projektphase wurde ein Mach-Zehnder-<br />

Modulator, integriert im FEOL (Frontend-of-Line), mit<br />

10 Gb / s SiGe-Treiber entworfen und im <strong>IHP</strong> hergestellt.<br />

Abb. 25 zeigt eine Übersichtsdarstellung von Modulator-<br />

und SiGe-Treiber. Modulator- und Treiber-Elektronik<br />

wurden in der <strong>IHP</strong>-Basistechnologie SG25H3 präpariert.<br />

In the final project period a Mach-Zehnder modulator,<br />

integrated in the frontend-of-line, with a 10 Gb / s SiGe<br />

driver amplifier was designed and fabricated at <strong>IHP</strong>.<br />

Fig. 25 shows a general view on modulator part and<br />

SiGe driver part. Driver electronics and modulator are<br />

fabricated in <strong>IHP</strong>’s baseline technology SG25H3.<br />

Abb. 25: Modulator-Demonstrator<br />

Fig. 25: Modulator demonstrator<br />

50 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Die Integration von photonischen Modulen in den<br />

BiCMOS-Standardflow erforderte die Herstellung von<br />

speziellen Wafern mit lokalen SOI-Gebieten für die photonischen<br />

Komponenten und Si-Bulk-Bereichen für die<br />

BiCMOS-Elektronik.<br />

The integration of photonic modules in <strong>IHP</strong>’s standard<br />

technologies required preparation of special<br />

wafers with so-called local SOI approach for a novel<br />

photonic BiCMOS process.<br />

Die erste Generation von im FEOL integrierten Modulatoren<br />

und Treiberschaltungen arbeiten bis zu Raten von<br />

10 Gbit / s. Damit konnte zum ersten Mal eine vollständige<br />

elektronisch-photonische Integration auf Basis<br />

einer High-Performance-BiCMOS-Technologie demonstriert<br />

werden.<br />

The first generation of FEOL integrated modulators<br />

and driver devices operate with rates up to 10 Gb / s.<br />

This is the first demonstration of fully photonicelectronic<br />

integration in high-performance BiCMOS<br />

technology.<br />

Der hohe Integrationsgrad des entwickelten Modulator-Treiber-Paars<br />

wird in Abb. 26 illustriert.<br />

The high degree of integration achieved for the modulator<br />

driver pair is illustrated in Fig. 26.<br />

Abb. 26: Hoch integriertes Modulator-Treiber-Paar<br />

Fig. 26: Highly integrated modulator driver pair<br />

A n n u a l R e p o r t 2 0 1 2<br />

51


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Technologieplattform für heterogen integrierte<br />

THz-Schaltungen (HiTeK)<br />

Das Projekt HiTeK wurde im Rahmen des Leibniz-Wettbewerbs<br />

zur Förderung ausgewählt. Es ist eine Kooperation<br />

des <strong>IHP</strong> mit dem Ferdinand-Braun-Institut, Leibniz-<br />

Institut für Höchstfrequenztechnik (FBH) in Berlin.<br />

Das Ziel des Projektes ist es, eine Technologieplattform<br />

für heterogen integrierte Schaltungen bei Terahertz-<br />

Frequenzen (0,1 – 1 THz) zu entwickeln. Dazu wird<br />

eine InP-Heterobipolar-Technologie des FBH mit einer<br />

BiCMOS-Technologie des <strong>IHP</strong> (SG25H1) kombiniert.<br />

Davon ausgehend wurden integrierte Schaltungen bei<br />

Frequenzen oberhalb von 100 GHz entworfen, realisiert<br />

und charakterisiert. Diese Schaltkreise sollen das Potential<br />

für Betriebseigenschaften nachweisen, die weit<br />

über den heutigen Stand der Technik hinausgehen. Sie<br />

sollen insbesondere auf Mixed-Signal-Anwendungen<br />

hin optimiert werden.<br />

Die Silizium- und InP-Wafer werden zunächst separat<br />

prozessiert. Dabei durchläuft der Siliziumwafer<br />

vollständig den BiCMOS-Prozess inklusive Front- und<br />

Backend. Zusätzlich wird die Passivierung so modifiziert,<br />

dass eine Oberfläche mit geringer Topografie<br />

entsteht. Um den Bondprozess durchführen zu können,<br />

werden die Si-Wafer mit Rückseiten-Justiermarken versehen.<br />

Da der InP-Prozess am FBH nur 3“ Wafer erlaubt,<br />

werden die fertigen Si-Wafer auf diese Größe gesägt.<br />

Technology Platform for Heterogeneous Integrated<br />

THz Circuits (HiTeK)<br />

The Project HiTek was selected for funding within the<br />

framework of the Leibniz competition. It is a collaboration<br />

between the <strong>IHP</strong> and the Ferdinand-Braun-Institut, Leibniz-Institut<br />

fuer Hoechstfrequenztechnik (FBH) in Berlin.<br />

The aim of the project is to develop a technology<br />

platform for heterogeneous integrated circuits at<br />

terahertz frequencies (0.1 – 1 THz). For this, the InP<br />

heterobipolar technology of the FBH is combined<br />

with an <strong>IHP</strong> BiCMOS technology (SG25H1).<br />

Within this framework, integrated circuits at frequencies<br />

above 100 GHz have been designed, fabricated<br />

and characterized. These circuits are intended to<br />

demonstrate the potential for operating characteristics<br />

that go far beyond the current state of techno-logy.<br />

They will be especially optimized for mixedsignal<br />

applications.<br />

First, the silicon and InP wafers are processed separately.<br />

In this case, the silicon wafer runs through<br />

the full BiCMOS process, including front and back<br />

end. Additionally, the passivation is modified to<br />

form a surface with a low topography. To perform the<br />

bonding process, back side alignment marks are<br />

placed on the silicon wafer. Since the InP process of<br />

FBH can use only 3“ wafers, finished Si wafers are cut<br />

to this size.<br />

Abb. 27: Waferbond-Prozess zwischen einem 3“ Silizium Wafer (unten) und einem 3“ InP-Wafer (oben) (a), anschließend wird das InP-Substrat<br />

nasschemisch entfernt (b) und Durchkontaletierungen zum Silizium werden hergestellt sowie eine weitere Metall-Ebene G2, die der<br />

Verbindung von InP- und Si-Bauelementen dient, wird erzeugt (c).<br />

Fig. 27: Wafer bonding process between a 3“ silicon wafer (below) and a 3“ InP wafer (above) (a), and the removing of the InP substrate via<br />

wet-chemical etching (b) and preparing of interconnects to the silicon with a further metal layer G2, which serves to link the InP<br />

and Si components (c).<br />

52 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Der InP-Wafer durchläuft ebenfalls die Frontend-Prozesse<br />

und wird mit einer Metallisierungsebene versehen.<br />

Anschließend werden beide Wafer mittels Benzocyclobuten<br />

(BCB) gebondet. Dabei ist eine hohe Lagegenauigkeit<br />

der Wafer beim Bondprozess entscheidend.<br />

Anschließend wird das InP-Substrat nasschemisch entfernt.<br />

Mittels Trockenätzen werden dann Vias ins BCB<br />

geätzt, die auf den Pads des Topmetal-2 enden.<br />

Es wurden zunächst passive Elemente getestet. Dazu<br />

zählen insbesondere die DC- und HF-Übergänge. Es<br />

zeigte sich, dass bis 220 GHz geringe Verluste erreicht<br />

werden können, so dass eine Backend-Integration von<br />

InP und Silizium mit dieser Substrat-Transfer-Technologie<br />

möglich ist.<br />

The InP wafer also passes through the front-end processes,<br />

and is provided with a metallization. Then the<br />

two wafers are bonded by means of benzocyclobutene<br />

(BCB). A high positional accuracy of the wafer<br />

bonding process is crucial. Subsequently, the InP<br />

substrate is removed by wet chemical etching. Vias<br />

are then etched into the BCB ending on the Topmetal-<br />

2 using dry etching technique.<br />

First, passive elements were tested. In particular, this<br />

includes the DC and RF transitions. It was found that<br />

low losses can be achieved up to 220 GHz, so that<br />

consequently a back-end integration of InP and Si<br />

with this substrate-transfer technology is possible.<br />

Als Demonstrator wurde ein 85 GHz-VCO in BiCMOS mit<br />

einem InP-Verstärker integriert (siehe Abb. 28). Damit<br />

konnte die prinzipielle Machbarkeit des Technologiekonzeptes<br />

gezeigt werden.<br />

A 85 GHz VCO in BiCMOS was integrated with an<br />

InP amplifier (see Fig. 28) as a demonstrator. This<br />

demonstrated the principal feasibility of the technology<br />

concept.<br />

Abb. 28: 85 GHz-VCO (links) integriert mit einem InP-Verstärker (rechts).<br />

Fig. 28: 85 GHz VCO (left) with integrated InP-amplifier (right).<br />

A n n u a l R e p o r t 2 0 1 2<br />

53


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

CMOS basierter Sensor für die dielektrische<br />

Spektroskopie von biologischen Zellen<br />

Die dielektrische Spektroskopie wurde für Jahrzehnte<br />

effektiv genutzt, um Biomaterialien zu charakterisieren.<br />

Basierend auf Maxwell-Wagner Näherungen unter<br />

Nutzung von Cole-Cole-Parametern haben einige Forschungsgruppen<br />

die effektive Modellierung von dielektrischen<br />

Eigenschaften biologischer Zellsuspensionen<br />

gezeigt. Die Modellierung wurde häufig verwendet,<br />

um intrinsische Zellparameter wie die Membrankapazität<br />

oder die zytoplasmatische Leitfähigkeit etc. zu<br />

charakterisieren. Jedoch ist die Charakterisierung der<br />

Konzentration einer bestimmten Zellspezies in einer<br />

Suspension mittels dielektrischer Messungen noch<br />

weitestgehend Neuland. In diesem Beitrag werden dielektrische<br />

Messungen erläutert, mit denen man die<br />

Konzentration von Zellen in einer Suspension mittels<br />

eines CMOS-Sensors charakterisieren kann. Der CMOS-<br />

Sensor kann später mit einem MEMS basierten mikrofluidischen<br />

System gekoppelt werden, um die Empfindlichkeit<br />

der Messung zu erhöhen. Somit kann ein eigenständiger<br />

elektrochemischer Sensor auf der Basis dielektrischer<br />

Messungen effektiv genutzt werden, um die<br />

Konzentration von Zellen in einer biologischen Zellsuspension<br />

zu bestimmen.<br />

Typischerweise basieren elektrische Biosensoren oder<br />

elektrochemische Sensoren auf der Technik der Impedanzmessung.<br />

Die meisten dieser Sensoren arbeiten<br />

mit Frequenzen im Bereich von Hunderten von Kilohertz<br />

bis einigen hundert Megahertz. Biologische Zellen<br />

zeigen mehrere Dispersionsmechanismen in diesem<br />

Frequenzbereich, welche häufig genutzt werden, um<br />

intrazelluläre Eigenschaften der suspendierten Zellen<br />

zu charakterisieren. Es ist jedoch schwierig, die Konzentration<br />

von Zellen zu charakterisieren, die zelluläre<br />

parameterabhängige Dispersionsmechanismen aufweisen.<br />

Um diese Dispersionseffekte zu vermeiden, wurde<br />

bereits die kapazitive Detektion von Zellen in einem<br />

Frequenzbereich bis 2 GHz gezeigt. Jedoch haben weitere<br />

Studien auch gezeigt, dass insbesondere für DNA-<br />

Dispersionsmechanismen bis zu einer Frequenz von<br />

10 GHz existieren können.<br />

CMOS Based Sensor for Dielectric<br />

Spectroscopy of Biological Cell Suspension<br />

Dielectric spectroscopy has been effectively used for<br />

decades to characterize biomaterials, giving information<br />

about membrane capacitance of cells, intra-cellular<br />

cell organells, etc. Several research groups have<br />

shown effective modeling of dielectric characteristics<br />

of biological cell suspensions, based on Maxwell Wagner<br />

approximations, and Cole Cole parameters. Most<br />

of the modeling has been used to characterize intrinsic<br />

cell parameters like membrane capacitance or<br />

cytoplasmic conductivity, etc. However, characterization<br />

of the concentration of a particular cell species<br />

in a suspension, based on dielectric measurements, is<br />

yet to be explored in a broader aspect. In this paper<br />

we explain the proposed theory of dielectric measurement<br />

to characterize the concentration of cells in a<br />

suspension and also describe a CMOS sensor which<br />

is used for the above purpose. The CMOS sensor can<br />

later be coupled to a MEMS microfluidic system, to<br />

increase the sensitivity of characterization; hence a<br />

stand-alone electrochemical sensor based on dielectric<br />

measurement can be effectively used to calculate<br />

the concentration of cells in a biological cell suspension.<br />

Common electrical biosensors or electrochemical sensors<br />

are based on the impedance measurement technique.<br />

Most of these sensors operate at frequencies<br />

ranging from hundreds of kilohertz to a few hundred<br />

megahertz. Biological cells show several dispersion<br />

mechanisms in this frequency range, which are often<br />

used to characterize intracellular characteristics of<br />

the suspended cells. However, it is difficult to<br />

characterize the concentration of cells with such<br />

cellular parameter-dependent dispersion mechanisms.<br />

Capacitive detection of cells at a frequency<br />

range of 2 GHz has been shown to avoid these dispersions.<br />

However, studies have also shown that other<br />

dispersion mechanisms can exist up to a frequency of<br />

10 GHz, especially for DNAs, compelling us to choose<br />

the operating frequency for dielectric measurements<br />

of cell concentration above 10 GHz.<br />

54 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Diese Ergebnisse machen es erforderlich, die Betriebsfrequenz<br />

für dielektrische Messungen der Zellkonzentration<br />

über 10 GHz zu wählen. Um die Konzentration<br />

der Zellen in einer biologischen Zellsuspension erkennen<br />

zu können, sollte man die Messungen in einem<br />

Frequenzbereich oberhalb der charakteristischen Frequenz<br />

(17 GHz) von Wasser durchführen. Es wurde eine<br />

ineinander greifende Elektrodenstruktur als Sensorelement<br />

eingesetzt, um die Konzentration der Zellen zu<br />

detektieren. Die ineinandergreifende Kammstruktur ist<br />

mit einer Spule gekoppelt, um einen LC-Oszillator zu<br />

formen, dessen Resonanzfrequenz eine Funktion der<br />

Dielektrizitätskonstante der Lösung ist. Die Steuerschaltung<br />

beruht auf einem Paar von kreuzgekoppelten<br />

Transistoren. Somit wird eine dielektrische Veränderung<br />

in der Lösung als Frequenzänderung des Oszillators detektiert.<br />

In this work we propose a solely electrical measurement<br />

based biosensor to detect the concentration<br />

of cells in a biological cell suspension operating at<br />

a frequency range above the characteristic frequency<br />

of water, 17 GHz. Interdigitated electrode structure<br />

has been used as the sensor element to detect<br />

the concentration of cells. The interdigitated comb<br />

structure is coupled with inductors, to form an LC<br />

oscillator whose resonant frequency is a function of<br />

the permittivity of the solution underneath the sensor.<br />

The control circuit includes a pair of cross coupled<br />

transistors, similar to a cross coupled VCO, with<br />

an output buffer stage. Thus a dielectric change in<br />

the solution is detected as the frequency change of<br />

the oscillator.<br />

Abb. 29: Sensor mit ineinandergreifender Kondensatorstruktur zur<br />

Messung der Änderung der Resonanzfrequenz.<br />

Fig. 29: Top view of the sensor with the interdigitated capacitor<br />

for measuring the shift of the resonance frequency.<br />

A n n u a l R e p o r t 2 0 1 2<br />

55


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Graphen-Basis-Transistor<br />

Das Ziel des Projektes ist die Entwicklung von graphenbasierten<br />

elektronischen Bauelementen mit Arbeitsfrequenzen<br />

bis in den THz-Bereich.<br />

Graphen-Basis-Transistor (GBT) ist ein neuartiges<br />

Hochfrequenz-Bauelementekonzept, das die Geschwindigkeit<br />

und Funktionalität von Si-basierter Elektronik<br />

verbessern kann. Im Herzen des GBT liegt eine einzelne<br />

Schicht Graphen. Graphen fungiert hier als eine<br />

extrem dünne Basiselektrode mit hoher Leitfähigkeit<br />

zum Steuern des Flusses von Elektronen zwischen zwei<br />

anderen Anschlüssen des Transistors, nämlich dem<br />

Emitter und dem Kollektor. Da alle Anschlüsse des<br />

Transistors voneinander mit Tunnelbarrieren getrennt<br />

sind, erfordert eine praktische Realisierung eines GBT<br />

die Fähigkeit, qualitativ hochwertiges Graphen auf<br />

dielektrischen oder halbleitenden Substraten zu wachsen.<br />

Zusätzlich muss noch eine einheitliche dielektrische<br />

Barriere auf der Graphen-Schicht abgeschieden<br />

werden. Diese Prozesse sind die zwei wichtigsten technischen<br />

Herausforderungen und Gegenstand weltweiter<br />

Forschungsanstrengungen.<br />

Graphene Base Transistor<br />

The goal of the project is the development of graphene-based<br />

electronic devices with operation frequencies<br />

extending into the THz regime.<br />

Graphene base transistor (GBT) is a novel high-frequency<br />

device concept which has the potential to<br />

improve the speed and functionality of Si-based electronics.<br />

At the heart of the GBT lies a single layer of<br />

graphene. Graphene functions here as an extremely<br />

thin and highly conductive base electrode controlling<br />

the flow of electrons between two other terminals of<br />

the transistor, namely the emitter and the collector.<br />

Since all terminals of the device are separated from<br />

one an-other by tunneling barriers, a practical realization<br />

of GBT requires the ability to grow high quality<br />

graphene on dielectric or semiconducting substrate<br />

and to deposit a uniform dielectric barrier on the<br />

graphene layer. Both of these processes are the key<br />

engineering challenges triggering worldwide research<br />

efforts.<br />

In Zusammenarbeit mit Partnern untersucht das <strong>IHP</strong><br />

direkte Graphen-Synthesemethoden auf nichtmetallischen<br />

Substraten. Diese Studien sind noch in einem<br />

sehr frühen Entwicklungsstadium. Eine temporäre Lösung,<br />

die die Herstellung von Prototyp-GBTs ermöglicht,<br />

ist der Transfer von CVD-Graphen, das auf Cu-Folien gewachsen<br />

worden ist. Eine solche Übertragungsmethode<br />

wurde am <strong>IHP</strong> etabliert und ermöglicht die Ablagerung<br />

von Graphen-Schichten in Chip-Größe auf beliebigen<br />

Substraten (Abb. 30, links). Dieser Prozess wird nun<br />

verwendet, um die einzelnen Bausteine des GBT herzustellen<br />

und zu untersuchen.<br />

In collaboration with partners, <strong>IHP</strong> investigates<br />

direct graphene synthesis methods on non-metallic<br />

substrates. Since these studies are still in a<br />

very early development stage, a temporary solution<br />

enabling prototyping of GBTs is the transfer of CVD<br />

graphene grown on Cu surfaces. Such a transfer<br />

method has been established at <strong>IHP</strong> and allows<br />

deposition of chip-size graphene layers on arbitrary<br />

substrates (Fig. 30, left). This process is now used<br />

to form and investigate individual building blocks of<br />

the GBT.<br />

56 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Sobald die Graphen-Schicht auf dem vorstrukturierten<br />

Substrat platziert ist, tritt die zweite Herausforderung<br />

auf: die inerte Natur des Graphens macht es sehr<br />

schwierig, eine isolierende Schicht von guter Qualität<br />

auf seiner Oberfläche zu bilden. Um dieses Problem zu<br />

lösen untersuchen wir atomares Wachstum von HfO 2<br />

auf<br />

Graphen, das auf 8-Zoll-Si-Substrate übertragen worden<br />

ist (Abb. 30, rechts). Vielversprechende Ergebnisse die<br />

kürzlich erreicht werden konnten, lassen eine beschleunigte<br />

Entwicklung der GBT-Bauelemente in der nahen<br />

Zukunft erwarten.<br />

Once the graphene layer is on its place on the prepatterned<br />

substrate another challenge arises: The inert<br />

nature of graphene makes it very difficult to form<br />

an insulating layer of high quality on its surface. To<br />

overcome this bottleneck we are investigating atomic<br />

vapor deposition of HfO 2<br />

on graphene transferred to<br />

8-inch Si substrates (Fig. 30, right). Promising results<br />

obtained recently in this branch give rise to expectations<br />

of accelerated development of GBT devices in<br />

the near future.<br />

Abb. 30: CVD-Graphen, übertragen auf ein SiO 2<br />

/ Si Substrat (links). Graphen-Schichten in Chip-Größe bedeckt mit einer HfO 2<br />

-Schicht auf 8-Zoll-<br />

Si-Substrat (rechts). HfO 2<br />

wird als dielektrische Barriere zwischen der Basis und dem Kollektor des GBT-Transistors verwendet.<br />

Fig. 30: CVD graphene layer transferred to pre-patterned SiO 2<br />

/ Si substrate (left). Chip-size graphene layers covered with HfO 2<br />

dielectric<br />

on 8-inch Si wafer (right). HfO 2<br />

is used as the dielectric barrier between base and collector electrodes of the GBT.<br />

A n n u a l R e p o r t 2 0 1 2<br />

57


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Ein integrierter Emitter für nahes Infrarot<br />

basierend auf verspanntem Germanium<br />

Diese Forschungsaktivität zielt auf die Entwicklung eines<br />

elektrisch gepumpten Lasers auf der Siliziumplattform<br />

durch das fortschrittliche Bandstruktur-Engineering von<br />

Germanium verbunden mit optimierter Dotierung. Ein<br />

solches im infraroten (IR)Licht emittierendes Modul<br />

kann neben Anwendungen bei Hochleistungsrechnern<br />

die „More than Moore“-Siliziumtechnologie des <strong>IHP</strong> in<br />

dem Bereich optischer Sensoren erweitern.<br />

Während der letzten Jahre hat die Silizium-Photonik,<br />

aufbauend auf der existierenden CMOS-Prozessierung,<br />

ihr Potential als kostengünstige Lösung zur Realisierung<br />

von optoelektronischen Hochleistungs-Geräten<br />

unter Beweis gestellt. Das größte verbliebene Hindernis<br />

für die Realisierung von voll funktionsfähigen siliziumphotonischen<br />

integrierten Schaltkreisen ist die<br />

Verwirklichung einer integrierten Laserlichtquelle. Bedingt<br />

durch die indirekte Bandlücke des Siliziums, ist es<br />

extrem schwierig mit diesem Halbleiter eine effiziente<br />

Lichtemission zu erreichen. Unter anderem werden deshalb<br />

heutzutage Germanium-basierte Ansätze verfolgt,<br />

und es konnte ein erster elektrisch injizierter Germaniumlaser<br />

demonstriert werden.<br />

An Integrated Near Infrared Light Emitter<br />

Based on Strained Germanium<br />

This research activity aims at developing an electrically<br />

injected laser on a silicon platform through<br />

advanced band-structure engineering of germanium<br />

and optimized doping. Besides applications in high<br />

performance computing (HPC), such a light emitting<br />

module in the infrared (IR) range could extend <strong>IHP</strong>`s<br />

“More than Moore” Si technology towards optical sensor<br />

markets.<br />

Over the past few years, Silicon-Photonics has<br />

demonstrated its potential as a low-cost solution<br />

for realizing high-performance electro-optic devices<br />

leveraging on the existing CMOS processing. The major<br />

remaining hurdle for realizing a fully functional<br />

silicon-photonic integrated circuit is the implementation<br />

of an integrated laser light source. It is extremely<br />

difficult to obtain efficient light emission<br />

from silicon, owing to its indirect band gap. Among<br />

different approaches Germanium-based solutions are<br />

now considered the most promising ones and have<br />

already led to the demonstration of an electrically<br />

injected laser on Si.<br />

Obwohl Germanium ein indirekter Halbleiter ist, ist die<br />

Energiedifferenz zwischen den Leitungsbandminima am<br />

Γ- und am L-Punkt der Brioullinzone relativ klein (135<br />

meV) und kann durch eine tensile Verspannung weiter<br />

reduziert werden. Folglich werden tensil verspannte Ge-<br />

Strukturen erhöhte Oszillatorstärken für optische Absorptions-<br />

und Emissionsprozesse zeigen.<br />

Seit 2011 verfolgt das <strong>IHP</strong> einen voll CMOS-kompatiblen<br />

Ansatz, um SiN / Ge / Si-Mikrostrukturen herzustellen,<br />

die auf einer SiN-Stressorschicht basieren,<br />

die eine hohe tensile Verspannung in eine n-dotierte<br />

Ge / Si-Heteroepitaxieschicht einbringt. Durch Form<br />

und Größe der Mikrostruktur kann die Verspannungsverteilung<br />

in der Ge-Schicht optimiert und genau eingestellt<br />

werden.<br />

Although Ge is an indirect-band gap material, the energy<br />

difference between its conduction band minima<br />

at the Γ and L points of the Brillouin zone is relatively<br />

small (135 meV) and can be further decreased if a<br />

biaxial tensile strain ε is applied. As a consequence,<br />

tensile strained Ge structures are expected to show<br />

increased oscillator strength for both light absorption<br />

and emission processes.<br />

Since 2011 <strong>IHP</strong> is pursuing a fully Si-CMOS compatible<br />

approach to fabricate SiN / Ge / Si micro-structures<br />

based on a SiN stressor layer inducing a high<br />

level of tensile strain in an n-doped Ge / Si heteroepitaxial<br />

layer. By adjusting micro-structure size and<br />

shape, the strain distribution within the Ge layer can<br />

be optimized and accurately controlled.<br />

58 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Es wurden verschiedene Typen von Mikrostrukturen hergestellt,<br />

die eine Expansion der Ge-Schicht in eine, zwei<br />

oder drei Dimensionen erlauben (Abb. 31a), b) und<br />

c)). Die sich ergebenden Verspannungsprofile entlang<br />

der Strukturen wurden mit Mikro-Ramanspektroskopie<br />

vermessen und mit numerischen Simulationen des<br />

Verspannungsfeldes verglichen (Abb. 32). Die Simulationen<br />

erfolgten mit dem kommerziellen FLex-PDE-<br />

Packet, das auf der Finite-Elemente-Methode (FEM)<br />

basiert.<br />

Microstructures of different types have been fabricated,<br />

allowing the Ge layers to freely expand into one,<br />

two, or three dimensions (Figs. 31 a), b) and c) respectively).<br />

The resulting strain distribution profiles<br />

along the structures were probed by micro-RAMAN<br />

spectroscopy and compared with numerical simulations<br />

of the strain field distribution using the Flex-<br />

PDE commercial package based on the finite element<br />

method (FEM) (Fig. 32).<br />

Die Photolumineszenz (PL) der Mikrostrukturen wurde<br />

bei Raumtemperatur (RT) mit einem Mikro-PL-Aufbau<br />

mit einer Anregungswellenlänge von 532 nm bei<br />

niedriger Anregungsenergie untersucht, um eine Aufheizung<br />

der Strukturen zu vermeiden. Die gemessene<br />

Photolumineszenz wurde mit den Vorhersagen von<br />

Modellierungen verglichen, die auf der 30-Band-k.p-Beschreibung<br />

der Bandstruktur unter Verwendung der mit<br />

FEM simulierten Spannungsverteilung basieren.<br />

Photoluminescence (PL) measurements were performed<br />

at room temperature (RT) using a micro-PL<br />

set-up with an 532 nm excitation wavelength and<br />

low excitation power to prevent heating of the microstructures.<br />

The measured photoluminescence resonance<br />

was compared with the one predicted by the<br />

modeling based on a 30 band k.p description of the<br />

band structure taking into account the strain distribution<br />

simulated by FEM.<br />

Abb. 31: Aufnahmen im Rasterelektronenmikroskop(REM) von typischen Mikrostrukturen (hier Länge 20 µm, Breite 2 µm): (a) Mikrostreifen,<br />

(b) Mikrobrücke und (c) freigeschnittene Brücke.<br />

Fig. 31: Scanning electron microscope (SEM) images of typical micro-structures (l=20 µm, w=2 µm): (a) micro-stripe, (b) micro-bridge,<br />

and (c) trimmed micro-bridge.<br />

A n n u a l R e p o r t 2 0 1 2<br />

59


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Durch den Vergleich mit den Simulationen wurde die<br />

beobachtete Raumtemperatur-Photolumineszenzemission<br />

der Rekombination an der direkten Bandlücke zugeordnet.<br />

Abb. 33 zeigt die PL-Spektren von Mikrostreifen<br />

(die Struktur in Abb. 31(a)) mit unterschiedlichen<br />

Breiten. Es gibt eine deutliche Rotverschiebung des<br />

PL-Peaks sowie eine starke Zunahme der PL-Intensität<br />

für abnehmende Breite der Mikrostreifen. Beides<br />

sind Signaturen für eine zunehmende Verspannug bei<br />

schmaleren Streifen. Für den schmalsten Streifen<br />

(L=90 µm, w=1 µm) ist das PL-Spektrum konsistent mit<br />

einer Verspannung von 0,6 %, was in Übereinstimmung<br />

mit den Ramanmessungen und der FEM-Modellierung ist.<br />

The observed room temperature PL emission has been<br />

attributed to direct-band gap recombination by comparison<br />

with the simulations. Fig. 33 shows the PL<br />

spectra from microstripes (structure in Fig. 31(a))<br />

with different widths. There is a clear red-shift of<br />

the PL peaks as well as a strong increase in intensity<br />

with decreasing width of the microstripe. Both are<br />

signatures of an increase of strain for thinner stripes.<br />

For the thinnest stripe (L=90 µm, w=1 µm), the PL<br />

spectrum is consistent with a 0.6% equivalent biaxial<br />

strain in agreement with the Raman measurements<br />

and with FEM modeling.<br />

a) b)<br />

Abb. 32: (a) Biaxiale Strainwerte (linke Achse) und gemessene Ramanverschiebung entlang der Längsachse (Länge=20 µm) mit einer Breite von<br />

1 µm (Kreise) und 2 µm (Quadrate) für blau: einen Mikrostreifen, rot: eine Mikrobrücke und grün: eine freigeschnittene Mikrobrücke. Die<br />

vertikalen gepunkteten Linien markieren die Struktur.<br />

(b) Berechnetes Verschiebungsfeld im Zentrum eines Mikrostreifens mit 20 µm Länge und 2 µm Breite.<br />

Fig. 32: (a) Biaxial strain values (left axis) and Raman shift measured along the length of Ge microstructures (l=20 µm) having a width of<br />

1 µm (circle) and 2 µm (square). Blue: a microstripe, red: a micro-bridge, green: a trimmed micro-bridge. The vertical dotted lines<br />

define the micro-structure length.<br />

(b) Displacement field calculated for a 20 µm long and 2 µm wide micro-stripe at its center.<br />

60 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Unsere zukünftigen Aktivitäten werden darauf abzielen,<br />

die tensile Spannung der Mikrostrukturen weiter<br />

zu erhöhen und die Spannungsverteilung innerhalb der<br />

Ge-Schicht im Hinblick auf ein Design für eine optische<br />

Kavität zu optimieren. Außerdem wird relativ hohe<br />

n-Dotierung verwendet werden, um die Besetzungsinversion<br />

zu erleichtern und damit die optische Verstärkung<br />

zu vergrößern und die optische oder elektrische<br />

Leistung zu reduzieren, die benötigt wird, um die Laserschwelle<br />

zu überschreiten.<br />

Our future activities will aim at further increasing<br />

the tensile strain in the microstructure and opti<br />

mizing the strain distribution within the Ge layer,<br />

with a design compatible with the realization of an<br />

optical cavity. Relatively high n-type doping will also<br />

be used in order to ease the population inversion<br />

and, as a consequence, to increase optical gain and<br />

decrease the optical or electrical power needed to<br />

overcome the lasing threshold.<br />

Abb. 33: Photolumineszenzspektren von Mikrostreifen mit<br />

verschiedener Breite bei Zimmertemperatur zusammen<br />

mit dem Spektrum eines blanken Ge-Wafers.<br />

Fig. 33: Room temperature PL spectra of microstripes with<br />

different widths together with the spectrum of a<br />

reference blanket Ge wafer.<br />

A n n u a l R e p o r t 2 0 1 2<br />

61


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Puls-induziertes Elektroformieren eines in<br />

Si-CMOS eingebetteten 4 kbit RRAM Arrays<br />

Widerstandsbasierte Speicher (RRAM) sind derzeit einer<br />

der vielversprechendsten Kandidaten für eingebettete<br />

nichtflüchtige Speichermodule (eNVM). Die Integration<br />

dieser Speicher folgt dem „More than Moore“<br />

Ansatz der <strong>IHP</strong> Forschungsstrategie, die auf System-on-<br />

Chip (SoC) Lösungen für die drahtlose SiGe:C-BiCMOS-<br />

Kommunikation fokussiert ist. Die Einsatzmöglichkeiten<br />

von RRAM können im Bereich eingebetteter Anwendungen<br />

für drahtlose Sensorknoten gesehen werden.<br />

Zukünftige Sensorknoten könnten deshalb von schnellen<br />

und nichtflüchtigen RRAM profitieren, mit dem Vorteil einer<br />

geringeren Chipfläche und einer höheren Strahlungshärte.<br />

Mit diesem Speicherkonzept wäre es insbesondere<br />

möglich, die Leistungsaufnahme im inaktiven Modus<br />

(Standby) eines Sensorknotens deutlich zu reduzieren.<br />

Im Rahmen der Prozessintegration bietet RRAM den Vorteil<br />

der Kompatibilität mit der Back-End-of-line (BEOL)-<br />

Prozessierung. Somit konnten bereits Fortschritte im<br />

Verständnis der Physik des resistiven Schaltverhaltens an<br />

integrierten 4 kbit Speicher-Arrays erzielt werden.<br />

Abb. 34 zeigt das 4 kbit Speicherarray mit einem Zeilendecoder<br />

(XDC MUX), der eine einzelne Wortleitung<br />

(WL) auswählt und einem Spaltendecoder (YDC MUX)<br />

zur Adressierung einzelner Bitleitungen (BL) / Sourceleitungen<br />

(SL).<br />

Pulse-induced electroforming of a Si CMOS<br />

Embedded 4 kbit RRAM Array<br />

Resistive memories (RRAM) are currently among of<br />

the most promising emerging candidates for embedded<br />

non-volatile memory modules (eNVM). The integration<br />

of these memories follows the “More than<br />

Moore” approach of <strong>IHP</strong>’s research strategy, focusing<br />

its activities on advanced system-on-chip (SoC) solutions<br />

for wireless SiGe:C BiCMOS communication<br />

systems. The potential applications of RRAM are<br />

given by the wide field of wireless sensor networks.<br />

Future sensor nodes could benefit from new high<br />

speed resistive memories, which are non-volatile,<br />

save chip area and provide less radiation susceptibility.<br />

In particular, this memory concept would allow<br />

a significant power dissipation reduction in the inactive<br />

(standby) mode of sensor nodes.<br />

In the context of process integration, RRAM offers<br />

compatibility with the back-end-of-line (BEOL) process<br />

scheme and thus considerable progress has already<br />

been made in understanding the physics of the<br />

resistive switching behavior in 4 kbit memory arrays.<br />

The cells in the 4 kbit memory array (Fig. 34) are selected<br />

with an address code on the inputs of the decoders.<br />

The row decoder (XDC MUX) selects a single<br />

word line (WL) out of the word lines of the memory<br />

array. The column decoder (YDC MUX) selects a single<br />

bit line (BL) / source line (SL) out of bit / source lines<br />

of the memory array.<br />

Abb. 34: Foto des 4 kbit Speicher-Arrays mit Ansteuerung.<br />

Fig. 34: Photograph of the 4 kbit memory array with control<br />

circuits.<br />

62 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Im Folgenden ist das puls-induzierte Elektroformieren<br />

an 600×600 nm 2 TiN / HfO 2<br />

/ Ti / TiN-MIM-Zellen<br />

in einem 4 kbit Speicher-Array untersucht worden. Das<br />

Elektroformieren wurde durch Anlegen von Spannungspulsen<br />

im Bereich von 500 ns bis 10 µs erreicht, wobei<br />

die BL-Spannung 2,2 – 2,8 V betrug.<br />

Abb. 35 zeigt ein Konturdiagramm der gelesenen Ströme<br />

an 512 MIM-Zellen vor der Elektroformierung. Der<br />

gemessene Strom von frischen MIM-Zellen liegt im Bereich<br />

von 10 -8 A.<br />

Abb. 36 entspricht dem Konturdiagramm in Abb. 35<br />

nach Anlegen von Spannungspulsen in der Größenordnung<br />

von 1,2 ns bis 10 µs zum Elektroformieren.<br />

In the following, pulse induced electroforming of integrated<br />

600x600 nm 2 TiN / HfO 2<br />

/ Ti / TiN MIM devices<br />

in a 4 kbit memory array was investigated. Electroforming<br />

was achieved by applying voltage pulses in the<br />

range of 500 ns up to 10 µs, using a BL voltage in the<br />

range from 2.2 to 2.8 V.<br />

To demonstrate these findings, Fig. 35 shows the contour<br />

plot of 512 devices before pulse forming (current<br />

read at V BL<br />

= 0.3 V). The measured current of fresh<br />

memory devices is observed in the range of 10 -8 A.<br />

Fig. 36 corresponds to the previous contour plot<br />

showing the same devices after applying a forming<br />

pulse on the order of 1.2 ns to 10 µs.<br />

Abb. 35: Konturdiagramm für 512 1T-1R Zellen im 4 kbit Speicher-Arrays (V WL<br />

= 1,4 V) vor dem Formieren.<br />

Fig. 35: The contour plot before forming for 512 1T-1R devices in the 4 kbit memory array (V WL<br />

= 1.4 V).<br />

Abb. 36: Konturdiagramm für 512 1T-1R Zellen im 4 kbit Speicher-Arrays (V WL<br />

= 1,4 V) nach dem Formieren.<br />

Fig. 36: The contour plot for 512 1T-1R devices in the 4 kbit memory array (V WL<br />

= 1.4 V) after forming.<br />

A n n u a l R e p o r t 2 0 1 2<br />

63


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Darüber hinaus wurde die Bitleitungsspannung<br />

(y-Achse, BL voltage) als zweiter Parameter ausgewählt.<br />

In dieser Abbildung wird klar, dass Impulse mit<br />

einer Impulsbreite von 500 ns – 10 µs benutzt werden<br />

können, wobei die BL-Spannung 2,2 – 2,8 V betragen<br />

sollte.<br />

Additionally, the bit line voltage (y-axis, BL voltage)<br />

was chosen as a second parameter. In this figure it is<br />

clearly demonstrated that pulses with a pulse width<br />

of 500 ns – 10 µs can be used to electroform memory<br />

cells in the 4 kbit array, using a BL voltage in the range<br />

of 2.2 to 2.8 V.<br />

Abb. 37 zeigt einen typischen Set- und Reset-Prozess,<br />

nachdem eine einzelne MIM-Zelle mit einem 10 µs Puls<br />

geformt wurde. Im nächsten Schritt soll das puls-induzierte<br />

Schalten detaillierter mit unterschiedlichen Anstiegs-<br />

und Abfallzeiten untersucht werden.<br />

Finally, Fig. 37 shows a typical reset and set process<br />

performed after pulse forming with a pulse width of<br />

10 µs. The next important step will be to determine<br />

the relationship between reset and set pulses, the rise<br />

time, fall time etc.<br />

Zusammenfassend wurde puls-induziertes Elektroformieren<br />

an 600×600 nm 2 TiN / HfO 2<br />

/ Ti / TiN-MIM-Zellen<br />

in einem 4 kbit Speicher-Array mit Pulsweiten von<br />

500 ns – 10 µs erreicht.<br />

In conclusion, pulse-induced electroforming of<br />

memory devices in a 4 kbit memory array has been<br />

realized by varying the pulse width on the order of<br />

500 ns – 10 µs. Typical IV characteristics after pulse<br />

forming were obtained.<br />

Abb. 37: In der 1T-1R Architektur werden nMOS-Transistoren mit W / L = 1.14µm / 0.24µm in Reihe mit den MIM-Zellen verbunden.<br />

I-V Charakteristik nach der Pulsformierung: Typische reset (a) und set-Kurven (b).<br />

Fig. 37: In the 1T-1R architecture, nMOS transistors with W / L = 1.14µm / 0.24µm are connected in series with the MIM devices.<br />

I-V characteristics after pulse forming: Typical reset (a), and set process (b).<br />

64 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Versetzungsnetzwerke als aktive Komponenten<br />

in MOSFETs<br />

Die elektrischen und optischen Eigenschaften von<br />

Versetzungsnetzwerken (NW) werden durch das Joint<br />

Lab <strong>IHP</strong> / BTU seit einigen Jahren gemeinsam mit dem<br />

MPI für Mikrostrukturphysik Halle erforscht. Ziel der<br />

aktuellen Arbeiten, die u.a. in Verbindung mit dem<br />

Verbundprojekt SiGe-TE geleistet werden, ist es, die<br />

außergewöhnlichen Eigenschaften der NW auszunutzen,<br />

die beim Transport von Ladungsträgern zu beobachten<br />

sind. Die NW sollen als aktive Komponenten in<br />

Bauelemente eingesetzt werden. Dabei wird im Projekt<br />

SiGe-TE eine mögliche Nutzung für neuartige Si-basierte<br />

thermo-elektrische Generatoren evaluiert. In diesem<br />

Zusammenhang wird die Auswirkung der NW auf einfache<br />

Bauelemente charakterisiert, um die physikalischen<br />

Mechanismen des Ladungsträgertransportes<br />

zu verstehen. Als Beispiel soll hier die Wirkung von NW<br />

vorgestellt werden, die kontrolliert in den Kanal von<br />

MOSFETs eingebracht wurden.<br />

Netzwerke mit definierter Struktur lassen sich durch<br />

Bondtechnik in eine SOI-Schicht einbringen, siehe Abb.<br />

38. In diese Schicht kann anschließend ein MOSFET<br />

präpariert werden, der in seinem Kanal ein NW enthält.<br />

Dislocation Networks as Active Components<br />

in MOSFETs<br />

The electrical and optical properties of dislocation<br />

networks (NW) represent a long-term research topic<br />

at the Joint Lab <strong>IHP</strong> / BTU. The investigations are<br />

being carried out jointly with Max Planck Institute<br />

of Microstructure Physics in Halle. The current research,<br />

conducted amongst others within the framework<br />

of the joint project SiGe-TE, aims at utilizing the<br />

extraordinary charge carrier transport properties of<br />

such networks. Thus, the NWs are to be used as active<br />

components in electronic devices. In particular,<br />

possibilities for utilization of NWs in novel Si-based<br />

thermoelectric generators will be evaluated in the<br />

project SiGe-TE. To elucidate the physical mechanisms<br />

of charge carrier transport the impact of NWs<br />

on simple devices will be investigated in this context.<br />

As an example, the impact of NWs introduced in<br />

a controlled manner into the channel of MOSFETs will<br />

be presented here.<br />

Networks with defined structure can be introduced<br />

into SOI layers by bonding, see Fig. 38. A MOSFET that<br />

contains a dislocation network can then prepared in<br />

the layer.<br />

Abb. 38: Versetzungsnetzwerk (NW) in eine dünne SOI-Schicht<br />

integriert (XTEM-Aufnahme).<br />

Fig. 38: Dislocation network integrated into a thin SOI layer<br />

(XTEM image).<br />

A n n u a l R e p o r t 2 0 1 2<br />

65


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Der Vergleich der Transferkennlinie eines n-MOSFETs mit<br />

NW mit dem Referenz-FET ohne NW weist eine starke<br />

Erhöhung (50 x) des Drainstroms Id auf, siehe Abb. 39.<br />

Dies ist auf die Transporteigenschaften der Ladungsträger<br />

am NW zurück zu führen und könnte als Hinweis der<br />

Zunahme der Elektronenbeweglichkeit im Kanal (etwa<br />

10.000 cm 2 / Vs) gewertet werden. Unter Kühlung funktionieren<br />

die MOSFETs mit NW noch bei 5 K, während<br />

im Referenz-FET die Ladungsträger ausgefroren sind.<br />

Die in Abb. 40 gezeigten Kennlinien weisen einen Subthreshold<br />

Swing (SS) von etwa 20 mV / decade auf, was<br />

ein extrem guter Wert ist. Für bestimmte NW-Strukturen<br />

wird bei tiefen Temperaturen eine stufenförmige Kennlinie<br />

beobachtet (Abb. 41), die auf Quanteneffekte<br />

hindeutet. In Anlehnung an Ishikawa et al. könnte es<br />

sich dabei um Coulomb-Blockaden handeln.<br />

A comparison of the transfer characteristics of the<br />

nMOSFET with NW with that of the reference nMOS-<br />

FET without NW yields a strong increase (50 x) of the<br />

drain current for the MOSFET with NW (Fig. 39). This<br />

is attributed to the carrier transport properties at the<br />

NW and may be conceived as an increase of electron<br />

mobility in the channel (about 10.000 cm 2 / Vs). The<br />

MOSFETs with NW still work at T = 5 K while carriers<br />

are already frozen out in the reference MOSFET at<br />

this temperature. The characteristics depicted in Fig.<br />

40 demonstrate a sub-threshold swing (SS) of about<br />

20 mV / decade which is a very good value. For specific<br />

NW structures a step-like characteristic is observed<br />

at low temperatures (Fig. 41), indicating quantum<br />

effects. Following Ishikawa et al. Coulomb blockades<br />

could be at the origin of the behaviour observed.<br />

Abb. 39: Transferkennlinien für n-MOSFET mit NW und für Referenz (ohne NW), gemessen bei Raumtemperatur. Ein Vergleich der Kennlinien zeigt,<br />

dass Id ist beim Transistor mit NW ca. 50x größer ist als beim Referenz-FET.<br />

Fig. 39: Transfer characteristics of an nMOSFET with a NW and of a reference (without NW) measured at room temperature. Comparison of<br />

Id characteristic demonstrates a nearly 50 times higher current for the NW device.<br />

Abb. 40: Kennlinien für n-MOSFET mit NW, gemessen bei T = 5 K.<br />

Der Sub-threshold Swing beträgt SS = 21 mV/decade.<br />

Fig. 40: Characteristics of nMOSFET with NW, measured at T = 5 K.<br />

the sub-threshold swing is SS = 21 mV/decade.<br />

Abb. 41: Kennlinien gemessen bei T = 5 K für n-MOSFET, der ein NW<br />

mit bestimmter Struktur enthält. Die Stufen im Drainstrom<br />

Id werden nach Y. Ishikawa et al. (Appl. Phys. Lett. 88<br />

(2006) 073112) durch Coulomb-Blockaden verursacht.<br />

Fig. 41: Characteristics of an nMOSFET containing an NW of a<br />

specific strucure. According to Y. Ishikawa et al. (Appl.<br />

phys. Lett. 88 (2006) 073112), the observed steps of the<br />

drain current Id are caused by Coulomb blockades.<br />

66 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Getterung von hohen und niedrigen<br />

Kupfer-Konzentrationen<br />

Das Ziel dieser Untersuchungen war der Vergleich der<br />

Gettereffizienz von Sauerstoffpräzipitaten und sekundären<br />

Defekten bei der Getterung von hohen und niedrigen<br />

Cu-Konzentrationen in Siliziumscheiben.<br />

Es ist bekannt, dass Cu-Verunreinigungen im Herstellungsprozess<br />

von mikroelektronischen Bauelementen<br />

die Funktion der Bauelemente beeinträchtigen können.<br />

Um Cu-Atome aus dem aktiven Bereich der mikroelektronischen<br />

Bauelemente zu entfernen, können verschiedene<br />

Gettertechniken verwendet werden. Eine davon ist<br />

die interne Getterung. Bei dieser Gettertechnik, werden<br />

gelöste Cu-Atome durch Sauerstoffpräzipitate oder sekundäre<br />

Defekte, wie Versetzungen und Stapelfehler,<br />

gebunden. Die Defekte sind durch Sauerstoffpräzipitation<br />

absichtlich erzeugt worden. Schnelle thermische<br />

Behandlungen (RTA) wurden zur Vorbehandlung der<br />

Siliziumscheiben verwendet, um die Vakanzenprofile<br />

darin einzustellen. Da bekannt ist, dass Vakanzen die<br />

Präzipitation von Sauerstoff in Czochralski (CZ)-Silizium<br />

beeinflussen, kann die RTA-Vorbehandlung verwendet<br />

werden, um die Erzeugung von Sauerstoffpräzipitaten<br />

zu steuern.<br />

Gettering of Low and High<br />

Copper Concentrations<br />

The objective of the investigations was to compare<br />

the getter efficiency of oxygen precipitates and secondary<br />

defects for gettering of low and high concentrations<br />

of Cu in silicon wafers.<br />

It is well known that Cu contamination in the fabrication<br />

process of integrated circuits can disturb the<br />

function of microelectronic devices. In order to keep<br />

Cu atoms out of the device active zone, different gettering<br />

techniques can be used. One of these is internal<br />

gettering. In this technique, dissolved Cu atoms<br />

are trapped by oxygen precipitates or secondary defects<br />

such as dislocations and stacking faults which<br />

were intentionally generated by oxygen precipitation.<br />

Rapid thermal annealing (RTA) pre-treatments are<br />

used to control the vacancy profiles within the silicon<br />

wafer. As vacancies are well known to enhance the<br />

precipitation of oxygen in Czochralski (CZ) silicon,<br />

the RTA pre-treatment can be used to control the generation<br />

of oxygen precipitates.<br />

Die Proben in dieser Arbeit wurden durch RTA bei verschiedenen<br />

Temperaturen für 30 s in Ar / O 2<br />

-Atmosphäre<br />

vorbehandelt, gefolgt von einer Temperung im Temperaturbereich<br />

zwischen 700 und 1000 ° C für verschiedene<br />

Zeiten, um Sauerstoffpräzipitate in unterschiedlicher<br />

Konzentration und Größe zu erzeugen. Danach wurden<br />

zwei Arten von Getter-Tests durchgeführt. Für die Kontamination<br />

der Proben mit einer hohen Cu-Konzentration<br />

wurde der so genannte Haze-Gettertest verwendet<br />

[1]. Im Fall von Proben mit niedriger Cu-Konzentration<br />

wurde ein Gettertest mit 7 Tage Lagerung durchgeführt.<br />

Das Prinzip dieses Gettertests basiert auf der Messung<br />

der Cu-Konzentration an der Si-Oberfläche mittels ToF-<br />

SIMS.<br />

The samples used in this work were pre-treated by RTA<br />

at various temperatures for 30 s in Ar / O 2<br />

atmosphere,<br />

followed by annealing at temperatures between 700<br />

and 1000 °C for different times in order to generate<br />

oxygen precipitates of different concentration and<br />

size. Hereafter, two kinds of getter tests were carried<br />

out. For the contamination of samples with a high Cu<br />

concentration, the haze getter test was used [1]. In<br />

the case of samples contaminated with low Cu concentrations,<br />

the getter efficiency was determined by<br />

a 7 days storage test based on the measurement of the<br />

surface concentration of Cu by means of ToF-SIMS.<br />

A n n u a l R e p o r t 2 0 1 2<br />

67


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

In Abb. 42 wurden die Ergebnisse der Cu-Gettereffizienz<br />

gegen die normalisierte innere Oberfläche S<br />

aufgetragen. S ist das Produkt der Fläche eines einzelnen<br />

Sauerstoffpräzipitats S OP<br />

, der Dichte der Sauerstoffpräzipitate<br />

N BMD<br />

und der Dicke des Wafers d w<br />

(S=S OP<br />

∙ N BMD<br />

∙ d w<br />

). Die Daten der Proben mit Sauerstoffpräzipitaten<br />

mit und ohne Versetzungen wurden mit<br />

dem kritischen Wert für effektive Getterung von Hölzl et<br />

al. verglichen [2]. In den Proben mit hoher Cu-Konzentration<br />

wurden deutlich unterschiedliche kritische Werte<br />

der normierten inneren Oberfläche für Proben mit<br />

und ohne Versetzungen an den Sauerstoffpräzipitaten<br />

gefunden. Während der kritische Wert in den Proben<br />

mit Sauerstoffpräzipitaten in guter Übereinstimmung<br />

mit dem kritischen Wert von Hölzl ist, ist der kritische<br />

Wert in Proben mit Sauerstoffpräzipitaten und Versetzungen<br />

etwa zwei Größenordnungen niedriger.<br />

The results of the obtained Cu getter efficiency<br />

were plotted against the normalized inner surface S<br />

which is the product of the surface of a single precipitate<br />

S OP<br />

, the density of precipitates N BMD<br />

and the<br />

thickness of the wafer d w<br />

(S=S OP<br />

∙N BMD<br />

∙d w<br />

) as shown in<br />

Fig. 42. The data of the samples with oxygen precipitates<br />

with and without dislocations were compared<br />

with the threshold value obtained by Hölzl et al [2].<br />

In the samples contaminated with high Cu concentration,<br />

different critical values of the normalized inner<br />

surface were clearly found for the samples containing<br />

oxygen precipitates with and without dislocations.<br />

While the threshold value in the samples with oxygen<br />

precipitates is well in agreement with Hölzl’s<br />

threshold, the threshold value in samples with oxygen<br />

precipitates accompanied by dislocations is about<br />

two orders of magnitude lower.<br />

Abb. 42: Normierte innere Oberfläche von Sauerstoffpräzipitaten; Proben kontaminiert mit hoher Cu-Konzentration (links), und niedriger<br />

Cu-Konzentration (rechts).<br />

Fig. 42: Normalized inner surface of oxygen precipitates; samples contaminated with high concentration of Cu (left), and low<br />

Cu concentration (right).<br />

68 A n n u a l R e p o r t 2 0 1 2


A u s g e w ä h l t e P r o j e k t e – S e l e c t e d P r o j e c t s<br />

Im Falle von Proben verunreinigt mit niedrigen Cu-Konzentrationen<br />

verhalten sich beide Arten von Proben<br />

ähnlich und sind kompatibel mit dem kritischen Wert<br />

von Hölzl, wie in Abb. 42 rechts gezeigt ist. Diese Ergebnisse<br />

bedeuten, dass in den hoch kontaminierten Proben<br />

Cu leichter an Versetzungen präzipitiert als an der<br />

Oberfläche von Sauerstoffpräzipitaten im Gegensatz<br />

zu den Proben mit niedriger Cu-Konzentration, wo die<br />

Präsenz von Versetzungen die Gettereffizienz der Proben<br />

nicht verbessert. Dieses Verhalten von Cu wurde mit<br />

STEM- und EDX-Untersuchungen bestätigt. In Abb. 43<br />

links, präzipitiert Cu an Versetzungen, während in der<br />

Probe mit niedriger Cu-Konzentration Cu nur am Rand<br />

des plättchenförmigen Sauerstoffpräzipitats gefunden<br />

wurde, wie in Abb. 43 rechts gezeigt ist.<br />

In the case of samples contaminated with low Cu concentration<br />

both types of samples with and without<br />

dislocations behave similarly and they are compatible<br />

with Hölzl’s threshold as is shown in Fig. 42<br />

on the right. These results mean that in the highly<br />

contaminated samples Cu precipitates more easily at<br />

dislocations than at the surface of oxygen precipitates<br />

in contrast to the samples contaminated with<br />

low Cu concentration where the presence of dislocations<br />

does not improve the getter efficiency of the<br />

samples. This behavior of Cu was confirmed by STEM<br />

and EDX investigations. In Fig. 43 on the left, Cu precipitates<br />

at punched out dislocations while in the<br />

samples contaminated with low Cu concentration, Cu<br />

precipitates were found only at the edge of plate-like<br />

precipitates as shown in Fig. 43 on the right.<br />

Abb. 43: STEM-Bilder von Cu-Präzipitaten (CuP) an Versetzungen (D) entstanden durch Sauerstoffpräzipitate (OP) in einer Probe mit hoher<br />

Cu-Kontamination (links) und Hellfeld (BF)-Aufnahme eines plättchenförmigen Sauerstoffpräzipitats überlappt durch EDX-Bilder<br />

von Cu und O in einer Probe verunreinigt mit niedriger Cu-Konzentration (rechts).<br />

Fig. 43: STEM images of Cu precipitates (CuP) at dislocations (D) punched out by oxygen precipitates (OP) in a sample contaminated with<br />

high Cu concentration (left), and Bright Field (BF) image of plate-like oxygen precipitates overlapped by EDX images of Cu and O<br />

in a sample contaminated with low Cu concentration (right). .<br />

[1] K. Graff, Metal Impurities in Silicon-Device Fabrication in: Springer Ser. Mater. Sci., 24 52 (1995)<br />

[2] R. Hölzl, et al., Proc. Semiconductor Silicon 2002, in: Electrochem. Soc. Proc., 2002-2 608 (2002)<br />

A n n u a l R e p o r t 2 0 1 2<br />

69


70 A n n u a l R e p o r t 2 0 1 2<br />

Joint Labs


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Gemeinsames Labor <strong>IHP</strong> / BTU Cottbus<br />

„Materialforschung“<br />

Das Gemeinsame Labor <strong>IHP</strong> / BTU auf dem Campus der<br />

Brandenburgischen Technischen Universität (BTU) Cottbus<br />

besteht seit 2000. Es bündelt die Forschungspotentiale<br />

beider Partner und leistet, unter maßgeblicher Einbeziehung<br />

von Studenten, interdisziplinäre Forschung<br />

auf dem Gebiet der Halbleitermaterialien. Dabei bezieht<br />

es Lehrstühle der BTU in seine Forschungstätigkeit<br />

ein wie Experimentalphysik, Theoretische Physik,<br />

Physikalische Chemie oder Schaltkreisentwurf. Darüber<br />

hinaus beteiligte sich auch die Fachhochschule Lausitz<br />

mit technisch-präparativen Arbeiten.<br />

National kooperiert das Gemeinsame Labor im Rahmen<br />

seiner Projektarbeit mit einer Reihe von Forschungseinrichtungen<br />

wie dem MPI für Mikrostrukturphysik Halle,<br />

den Universitäten Göttingen, Halle und Stuttgart, der<br />

TU Berlin, dem HZB Berlin oder dem IKZ Berlin sowie<br />

mit Unternehmen aus der Silizium-Branche wie der Siltronic<br />

AG. Eine wichtige Aufgabe stellt der Ausbau der<br />

internationalen Vernetzung des Gemeinsamen Labors<br />

dar. Die BTU und das <strong>IHP</strong> sind über das Gemeinsame<br />

Labor Mitglied im internationalen Konsortium SiWEDS<br />

(Silicon Wafer Engineering & Defect Science Center),<br />

dem renommierte Halbleiterfirmen und namhafte Universitäten<br />

angehören. Unter den bestehenden internationalen<br />

Verbindungen ist besonders der enge Kontakte<br />

mit der Universität St. Petersburg (Russland) hervorzuheben.<br />

Gemeinsam mit der Zhejiang Universität in<br />

Hangzhou (China) wurde das 4. Deutsch-Chinesische-<br />

Symposium „The Silicon Age“ vorbereitet, das im September<br />

<strong>2012</strong> in Berlin stattfand. Darüber hinaus war<br />

das Gemeinsame Labor maßgeblich an der Vorbereitung<br />

und Betreuung des Symposiums „Advanced Si Materials<br />

Research for Electronic and Photovoltaic Applications<br />

III“ beteiligt, das auf dem E-MRS Spring Meeting im Mai<br />

<strong>2012</strong> in Strasbourg (Frankreich) abgehalten wurde.<br />

Das Gemeinsame Labor führt Forschungsarbeiten durch,<br />

deren Ziel es ist, bisher ungenutzte Eigenschaften des<br />

Siliziums für einen künftigen Einsatz auf neuen Gebie-<br />

Joint Lab <strong>IHP</strong> / BTU Cottbus<br />

“Materials Research”<br />

The Joint Lab <strong>IHP</strong> / BTU located on campus at the<br />

Brandenburg Technical University Cottbus (BTU ) was<br />

founded in 2000. It pools the research potential of<br />

the partners <strong>IHP</strong> and BTU and conducts interdisciplinary<br />

research – with substantial participation<br />

of students – in the field of silicon-based semiconductor<br />

materials. The chairs in Experimental Physics,<br />

Materials Science, Theoretical Physics, Physical<br />

Chemistry and Circuit Design at the BTU are integrated<br />

in its research activities. Furthermore, the<br />

nearby University of Applied Sciences Lausitz is also<br />

associated with the Joint Lab and has contributed<br />

engineering and preparation work.<br />

Within the framework of its research projects, the<br />

Joint Lab collaborates on contract basis nation-wide<br />

with various research facilities such as the MPI of<br />

Microstructure Physics Halle, HZB Berlin or IKZ Berlin,<br />

Universities in Göttingen, Halle and Stuttgart, TU Berlin,<br />

and with silicon companies such as Siltronic AG.<br />

The expansion of its international networking is a<br />

further important task of the Joint Lab. BTU Cottbus<br />

and <strong>IHP</strong> – via the Joint Lab <strong>IHP</strong> / BTU – are members<br />

of the international consortium SiWEDS (Silicon Wafer<br />

Engineering & Defect Science Center), associating<br />

reputed semiconductor companies and well-known<br />

Universities. Among existing international scientific<br />

contacts, collaboration with the Institute of Physics<br />

at the St. Petersburg State University (Russia) has<br />

grown particularly close. Together with the Zhejiang<br />

University in Hangzhou (China), the 4th Sino-German<br />

Symposium “The Silicon Age” was organized and held<br />

in September <strong>2012</strong> in Berlin. Furthermore, the Joint<br />

Lab was significantly involved in the preparation and<br />

supervision of the symposium “Advanced Si Materials<br />

Research for Electronic and Photovoltaic Applications<br />

III”, which was held at the E-MRS Spring Meeting in<br />

May <strong>2012</strong> in Strasbourg (France). The Joint Lab conducts<br />

research aimed at utilizing silicon properties<br />

that have not been used to date for new application<br />

areas. Based on the results of this forerunning re-<br />

A n n u a l R e p o r t 2 0 1 2<br />

71


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

ten zu erschließen. Auf der Basis der Ergebnisse dieser<br />

Vorlaufforschung können für das <strong>IHP</strong> Entscheidungen<br />

für seine zukünftige inhaltliche Ausrichtung vorbereitet<br />

werden. Die Arbeiten zur Photovoltaik wurden im<br />

Vergleich zu den Vorjahren in <strong>2012</strong> nicht weiter intensiviert.<br />

Es laufen noch die Mitarbeit im „Kompetenzzentrum<br />

Dünnschicht- und Nanotechnologie für Photovoltaik<br />

Berlin“(PVComB) und im BMU-Verbundprojekt<br />

SolarWinS. Das B<strong>MB</strong>F-Projekt SINOVA zu Nanostrukturen<br />

für eine zukünftige Photovoltaik wurde ebenfalls<br />

abgeschlossen. Weiter konnte der durch das Gemeinsame<br />

Labor betreute Doktorand der Firma Conergy<br />

seine Doktorarbeit in <strong>2012</strong> abschließen.<br />

search, decisions regarding future research directions<br />

of <strong>IHP</strong> are prepared. The photovoltaic research of the<br />

Joint Lab was not intensified in <strong>2012</strong> in comparison<br />

to the years before. Current activities are the cooperation<br />

in the “Competence Centre Thin Film and Nanotechnology<br />

for Photovoltaics Berlin” (PVComB) and in<br />

the BMU project SolarWinS. The B<strong>MB</strong>F project SINOVA<br />

on nanostructures for future photovoltaics was completed.<br />

A doctorate candidate from the Conergy AG,<br />

supervised by the Joint Lab, finished his thesis.<br />

Die nachfolgend aufgeführten Forschungsschwerpunkte<br />

sollen Beiträge zur Weiterentwicklung der Mikroelektronik,<br />

zur Einführung einer Si-basierten Nanoelekronik<br />

und Photonik und zur Unterstützung der Photovoltaik<br />

liefern und werden im Rahmen von Projekten, meist in<br />

Arbeitsteilung mit externen Partnern und unter Hinzuziehung<br />

von BTU-Lehrstühlen, verfolgt:<br />

- Versetzungs-Engineering und Ge-Schichten für<br />

Lichtemitter und andere Anwendungen, wie z.B.<br />

Si-basierte Thermoelektrik<br />

- Elektrische Aktivität von Kristalldefekten in Solar-Si<br />

für ein Wirkungsgrad-Engineering in der waferbasierten<br />

Photovoltaik<br />

- Si-Wafer für zukünftige Technologiegenerationen<br />

- Entwicklung spezieller Mess- und Diagnoseverfahren.<br />

Im Jahr <strong>2012</strong> bearbeitete bzw. beendete das Gemeinsame<br />

Labor sieben Drittmittelprojekte, darunter drei<br />

B<strong>MB</strong>F-Projekte, ein BMU-Projekt, ein DFG-Projekt und<br />

zwei Industrieprojekte. Durch diese Projekte standen<br />

in <strong>2012</strong> etwa 700 T Euro Drittmittel zur Verfügung, die<br />

vom <strong>IHP</strong> bzw. der BTU verwaltet wurden.<br />

The research topics listed below aim to deliver contributions<br />

for the future development of microelectronics,<br />

for the implementation of Si-based nanoelectronics<br />

and photonics, and for the support of<br />

photovoltaics. The activities are typically organized<br />

in the form of projects, usually carried out in collaboration<br />

with external partners and including BTU<br />

chairs when useful:<br />

- Dislocation-engineering and Ge layers for light<br />

emitters and other applications, e.g. Si-based<br />

thermoelectric generators<br />

- Electrical activity of crystal defects in solar silicon<br />

for support of efficiency engineering in waferbased<br />

photovoltaics<br />

- Si wafers for future technology generations<br />

- Development of special methods for measurement<br />

and diagnostic procedures.<br />

In <strong>2012</strong> the Joint Lab worked on or finished seven<br />

projects funded by third parties, among these three<br />

projects funded by B<strong>MB</strong>F (Federal Ministry of Education<br />

and Research), one project funded by BMU (Federal<br />

Ministry for the Environment, Nature Conservation<br />

and Nuclear Safety), one DFG project and two industry<br />

funded projects. About € 700 k third-party funds were<br />

available for the projects running in <strong>2012</strong>. The funds<br />

were administered by <strong>IHP</strong> and BTU Cottbus, respectively.<br />

72 A n n u a l R e p o r t 2 0 1 2


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Das Gemeinsame Labor unterstützt das Lehrangebot<br />

der BTU mit Vorlesungen, Übungen und Praktika. Im<br />

Jahr <strong>2012</strong> wurden je eine Promotion und Diplom-Arbeit<br />

abgeschlossen und eine externe Habilitation begleitet.<br />

Weiterführende Informationen über dieses Gemeinsame<br />

Labor sind unter www.jointlab.de abrufbar.<br />

Gemeinsames Labor <strong>IHP</strong> / TH Wildau (FH)<br />

„Hochgeschwindigkeitsbauelemente / Photonik“<br />

Das Gemeinsame Labor des <strong>IHP</strong> und der TH Wildau hat<br />

im Jahr <strong>2012</strong> die Aktivitäten in der Forschung und Ausbildung<br />

weiter vertieft. Die Forschungsschwerpunkte<br />

des Joint Lab waren in diesem Jahr:<br />

- Untersuchungen zum Schichtwachstum von Graphen<br />

auf Isolatoren<br />

- Beschichtung vorgefertigter Teststrukturen mit<br />

graphenartigen Schichten<br />

- Charakterisierung der Schichten durch Raman-<br />

Spektroskopie und Hall-Messungen<br />

- kohlenstoffbasierte Schutz- und Funktionsschichten<br />

für die Informations- und Kommunikationstechnik<br />

einschließlich organischer Elektronik und Photonik<br />

sowie Sensorik<br />

- elektro-optische Modulatoren und Sensoren.<br />

Im Anschluss an das vom Bundesministerium für<br />

Bildung und Forschung (B<strong>MB</strong>F) geförderte Innovationsforum<br />

„Schützen und Veredeln von Oberflächen“<br />

gründete die TH Wildau mit Unterstützung des Bundesministeriums<br />

für Wirtschaft und Technologie (BMWi)<br />

ein NEMO-Netzwerk gleichen Namens. Das Netzwerk ist<br />

mit Firmen aus der Region Berlin-Brandenburg sowie<br />

mit überregionalen Partnern besetzt und begann am<br />

01.07.<strong>2012</strong> seine Arbeit. Das strategische Ziel der im<br />

Netzwerk zusammengeschlossenen Unternehmen besteht<br />

in der Entwicklung, Realisierung und Vermarktung<br />

von innovativen Lösungen auf dem Gebiet der Oberflächen-<br />

und Beschichtungstechnik. Ebenfalls <strong>2012</strong> wurde<br />

ein EU-Projektantrag mit 12 weiteren Partnern, unter<br />

anderem dem <strong>IHP</strong>, erarbeitet.<br />

The Joint Lab supports teaching at BTU Cottbus by<br />

conducting lectures, exercises and practical courses.<br />

In <strong>2012</strong>, one PhD thesis as well as one diploma thesis<br />

were finished by members of the Joint Lab. One external<br />

postdoctoral lecture qualification was assisted.<br />

For further information about the Joint Lab please<br />

visit the website www.jointlab.de.<br />

Joint Lab <strong>IHP</strong> / TUAS Wildau<br />

“High-speed devices / Photonics”<br />

The Joint Laboratory of <strong>IHP</strong> and the TUAS Wildau have<br />

further expanded their activities in research and education.<br />

The research focus of the Joint Lab in <strong>2012</strong><br />

was on:<br />

- Studies on the growth of graphene layers on<br />

insulators<br />

- Coating of test structures with graphene-like<br />

layers<br />

- Characterization of the layers by Raman<br />

spectroscopy and Hall measurements<br />

- Carbon-based protective and functional coatings<br />

for the information and communication<br />

technology, including organic electronics and<br />

photonics as well as sensor systems<br />

- Electro-optic modulators and sensors.<br />

Following the Innovation Forum “Protecting and refining<br />

surfaces“ funded by the German Federal Ministry<br />

of Education and Research (B<strong>MB</strong>F), the TUAS<br />

Wildau founded a NEMO network of the same name<br />

with the support of the Federal Ministry of Economics<br />

and Technology (BMWi). The network is open to<br />

firms from the Berlin-Brandenburg region and national<br />

partners and started its work on 01-07-<strong>2012</strong>. The<br />

strategic goal of the companies in the network is the<br />

development, implementation and marketing of innovative<br />

solutions in the field of surface and coating<br />

technology. Also in <strong>2012</strong> an EU project proposal with<br />

12 other partners, including <strong>IHP</strong>, was prepared.<br />

A n n u a l R e p o r t 2 0 1 2<br />

73


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

In diesem Projekt geht es um die Entwicklung eines<br />

photonischen Sensors mit multiplen Funktionen zur<br />

Überwachung der Wasserqualität. Dazu kommen Praktika,<br />

Bachelor- und Masterarbeiten sowie Kolloquien<br />

am <strong>IHP</strong> und an der TH Wildau.<br />

<strong>2012</strong> wurde das Praktikum im <strong>IHP</strong> für Studenten der<br />

TH Wildau, das unter der Bezeichnung „Chipprozessing<br />

I und II“ für den Diplomstudiengang über viele Jahre<br />

erfolgreich durchgeführt wurde, an die Bedingungen<br />

der Bachelorausbildung angepasst. Damit ist die<br />

Möglichkeit gegeben diese Studienform, die von den<br />

Studenten positiv angenommen wurde, weiter auszubauen.<br />

Es wurde eine sehr interessante Bachelorarbeit<br />

zu „Transfer und Charakterisierung von CVD-Graphen“<br />

erarbeitet. Die hierfür benötigten Graphenschichten<br />

auf Kupferfolien wurden im Zuge der Aktivitäten des<br />

Gemeinsamen Labors in einer CVD-Vakuumkammer an<br />

der TH Wildau hergestellt. Die Charakterisierung des<br />

Graphens mittels Ramanspektrometrie erfolgte parallel<br />

sowohl am <strong>IHP</strong> als auch an der TH Wildau. Zudem läuft<br />

seit November 2011 eine Promotion an der TH Wildau<br />

in Zusammenarbeit mit der Universität Tor Vergata in<br />

Rom zum Thema „funktionale Kohlenstoffschichten“.<br />

Das Gemeinsame Labor ist hierbei ein wichtiger Partner<br />

bei der Bereitstellung und Charakterisierung der<br />

benötigten Proben sowie bei fachlicher Unterstützung.<br />

Hierzu findet ein regelmäßiger Erfahrungsaustausch in<br />

Form von Meetings und Vorträgen statt.<br />

This project involves the development of a photonic<br />

sensor with multiple functions for monitoring water<br />

quality. Further activities are the internships, bachelor<br />

and master theses, and colloquia at the <strong>IHP</strong> and at<br />

the TUAS Wildau.<br />

In <strong>2012</strong> the practical course for students of the TUAS<br />

Wildau at the <strong>IHP</strong> with the title “Chip Processing I<br />

and II”, which had been carried out successfully for<br />

the Diploma program for many years, was adapted<br />

to the conditions of the undergraduate education.<br />

Thus, it was possible to further expand this study<br />

form, which was accepted by the students in a positive<br />

sense. A very interesting thesis on “Transfer and<br />

characterization of CVD graphene“ was prepared. The<br />

required graphene layers on copper foils were prepared<br />

in a CVD vacuum chamber in Wildau as part of<br />

the activities. The characterization of graphene by<br />

Raman spectrometry was carried out in parallel both<br />

at the <strong>IHP</strong> and at the TUAS Wildau. In addition, since<br />

November 2011, a PhD thesis on “functional carbon<br />

films“ from the TUAS Wildau is under way in collaboration<br />

with the University of Tor Vergata in Rome.<br />

The Joint Lab is an important partner in the provision<br />

and characterization of the required samples, as well<br />

as for technical support. For this purpose there is a<br />

regular exchange of experience in the form of<br />

meetings and presentations.<br />

Das Projekt CSG-Funktionsschichten wurde <strong>2012</strong> begonnen.<br />

Dieses Projekt dient der Förderung des wissenschaftlichen<br />

Nachwuchses mit der Zielsetzung, den<br />

Übergang von der Hochschule in die Berufstätigkeit zu<br />

verbessern und den Verbund zwischen der Technischen<br />

Hochschule Wildau (FH) und dem <strong>IHP</strong>, einer Forschungseinrichtung<br />

in der EU-Zielregion Brandenburg Nord-Ost,<br />

weiter zu entwickeln. Der Verbund hat die Stärkung<br />

der Forschungskompetenzen, des Wissenstransfers und<br />

der Qualität der Lehre in den Zukunftsfeldern Photonik<br />

und optische Technologien, Mikro- und Nanoelektronik,<br />

Hochleistungsmaterialien, Informations- und Kommunikationstechnik<br />

sowie Sensorik zum Ziel.<br />

The project CSG functional films started in <strong>2012</strong>.<br />

This project promotes young scientists with the aim<br />

of improving the transition from college to professional<br />

life and to further develop the network between<br />

the TUAS Wildau and the <strong>IHP</strong>, a research institute in<br />

the EU target region Brandenburg North-East. The<br />

goals of the network are to strengthen research skills,<br />

knowledge transfer and the quality of teaching in the<br />

future fields of photonics and optical technologies,<br />

micro- and nanoelectronics, advanced materials, information<br />

and communication technology as well as<br />

sensors.<br />

74 A n n u a l R e p o r t 2 0 1 2


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Die Forschungsstrategie sieht die Entwicklung neuer<br />

Wirkprinzipien und Bauelementkonzepte für die optische<br />

Informations- und Kommunikationstechnik<br />

sowie verwandte Anwendungsgebiete vor. Grundlage<br />

hierfür ist die Erforschung neuartiger Funktionsmaterialien,<br />

welche vorzugsweise aus den Elementen der<br />

vierten Hauptgruppe, insbesondere Kohlenstoff (C),<br />

Silizium und Germanium gebildet werden (CSG-Materialien).<br />

Neben der Bündelung der Forschungs- und Entwicklungskapazitäten<br />

der beteiligten Einrichtungen im<br />

Gemeinsamen Labor ist insbesondere die Entwicklung<br />

von forschungsorientierten Arbeitsmöglichkeiten für<br />

Studierende und Doktoranden vorgesehen. Dies umfasst<br />

einerseits den Ausbau der Kapazität für die Durchführung<br />

von Forschungspraktika Studierender der TH<br />

Wildau am <strong>IHP</strong> Frankfurt (Oder) und andererseits die<br />

Einrichtung einer Forschergruppe, welche es besonders<br />

begabten Studierenden und Absolventen ermöglicht,<br />

aktiv an Forschungsprojekten des Gemeinsamen Labors<br />

<strong>IHP</strong> / TH Wildau teilzunehmen, um Beleg-, Bachelor-,<br />

Master- und Doktorarbeiten zu den o.g. Schwerpunktthemen<br />

anzufertigen.<br />

The research strategy involves the development of<br />

new active principles and device concepts for optical<br />

information and communication technology<br />

and related applications. This is based on the study<br />

of novel functional materials, which are preferably<br />

formed from the elements of the fourth main group,<br />

in particular carbon (C), silicon, and germanium<br />

(CSG-materials). Besides combining the research and<br />

development capacity of the institutions involved in<br />

the Joint Lab, the development of research-oriented<br />

work opportunities for students and doctoral students<br />

is of special interest. This includes on the one<br />

hand the development of the capacity for conducting<br />

research internships for students of the TUAS Wildau<br />

at the <strong>IHP</strong> in Frankfurt (Oder) and on the other hand<br />

the establishment of a research group, which allows<br />

exceptionally talented students and graduates to actively<br />

participate in research projects of the Joint Lab<br />

<strong>IHP</strong> / TUAS Wildau in order to prepare working documents,<br />

bachelor, master and doctoral theses on the<br />

above key topics.<br />

heat shield<br />

connections for<br />

water / cooling gas<br />

Heater with heat<br />

exchanger<br />

Abb. 44: Heizer für Graphen mit Proben und Thermoelement.<br />

Fig. 44: Graphene heater with samples and thermocouple.<br />

A n n u a l R e p o r t 2 0 1 2<br />

75


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Gemeinsames Labor <strong>IHP</strong> / TU Berlin<br />

„Silizium-Photonik“<br />

Die Photonik entwickelt sich gegenwärtig zu einer<br />

Schlüsseltechnologie mit einem breiten Anwendungsspektrum,<br />

das sich nicht mehr nur auf das Gebiet der<br />

optischen Kommunikation beschränkt. Optische Funktionalität<br />

ist hierbei ein entscheidendes Kriterium für<br />

den angestrebten kommerziellen Erfolg. Einen Schwerpunkt<br />

der gegenwärtigen Forschung und Entwicklung<br />

bilden integrierte photonische Technologien, basierend<br />

auf der Vereinigung von Silizium-IC-Elektronik mit integrierter<br />

Optik (Silizium-Photonik).<br />

Joint Lab <strong>IHP</strong> / TU Berlin<br />

“Silicon Photonics”<br />

Photonics is becoming a key technology in everyday<br />

life. The application of photonic technologies is no<br />

longer limited to optical communications. Optical<br />

functionality is a crucial criterion for commercial success.<br />

Many present developments focus on integrated<br />

photonics technologies, in particular on the convergence<br />

of silicon IC technology and integrated optics<br />

(silicon photonics).<br />

Die Entwicklung einer photonischen Technologie, basierend<br />

auf den am <strong>IHP</strong> etablierten BiCMOS-Technologien,<br />

ist nur in enger Kooperation mit der Technischen Universität<br />

Berlin (FG Hochfrequenztechnik / Photonik)<br />

möglich. Das <strong>IHP</strong> profitiert dabei von dem an der TU<br />

Berlin verfügbaren photonischen Know-how, wobei<br />

im Gegenzug die TU Berlin für ihre photonischen Forschungen<br />

und Entwicklungen Zugang zu den <strong>IHP</strong>-Technologien<br />

bekommt. Als eine effektive Form der Zusammenarbeit<br />

hat sich dabei das im Juni 2010 gegründete<br />

Gemeinsame Labor Silizium-Photonik bewährt.<br />

In order to set up a photonics technology based<br />

on <strong>IHP</strong>’s BiCMOS toolset, a close collaboration with<br />

Technische Universität Berlin (FG Hochfrequenztechnik<br />

/ Photonik) has been established. <strong>IHP</strong> benefits<br />

from the photonics know-how available in TU Berlin,<br />

while Berlin gains access to the technology of <strong>IHP</strong> for<br />

photonic research purposes. The frame for this collaboration<br />

is the Joint Lab Silicon Photonics, founded<br />

in June 2010.<br />

Das Gemeinsame Labor ist auf dem Gebiet der Silizium-Photonik<br />

in der europäischen Forschungslandschaft<br />

voll integriert und etabliert. Ausdruck dafür ist<br />

die Zusammenarbeit in zahlreichen EU finanzierten<br />

Photonik-Projekten (HELIOS, ESSENTIAL, GALACTICO,<br />

MERMIG). Im Rahmen des Ende <strong>2012</strong> erfolgreich beendeten<br />

HELIOS-Projekts konnte zum ersten Mal eine<br />

vollständige elektronisch-photonische Integration auf<br />

Basis einer High-Performance-BiCMOS-Technologie am<br />

<strong>IHP</strong> demonstriert werden.<br />

Zusätzlich zu den europäischen Aktivitäten ist das Gemeinsame<br />

Labor auch an verschiedenen nationalen und<br />

regionalen (Berlin-Brandenburg) Projekten zu photonischen<br />

Schwerpunkten, wie z.B. MINIMUM, RF2THzSi-<br />

SoC (CATRENE), SASER (CELTIC), sowie am DFG-Sonderforschungsbereich<br />

787 Nanophotonics beteiligt.<br />

The Joint Lab Silicon Photonics is fully integrated<br />

and established member of the European research<br />

landscape in photonics, which is reflected in the<br />

considerable number of FP7-funded collaborative<br />

projects with focus on photonic technologies (HE-<br />

LIOS, ESSENTIAL, GALACTICO, MERMIG). The HELIOS<br />

project was finished successfully at the end of <strong>2012</strong><br />

with the first demonstration of fully photonic-electronic<br />

integration in <strong>IHP</strong>’s high-performance BiCMOS<br />

technology.<br />

In addition to European activities, the Joint Lab Silicon<br />

Photonics has been successful in acquiring federal<br />

and regional (Berlin-Brandenburg) funding for<br />

projects such as MINIMUM, RF2THzSiSoC (CATRENE),<br />

SASER (CELTIC) and DFG Sonderforschungsbereich<br />

787 (Nanophotonics).<br />

76 A n n u a l R e p o r t 2 0 1 2


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Das im Herbst <strong>2012</strong> gestartete VDI / VDE-Verbundprojekt<br />

SILIMOD mit dem Institut für Optik und atomare Physik<br />

der TU Berlin soll zeigen, wie das Innovationspotential<br />

von Forschungsergebnissen aus einem früheren Projekt<br />

(SiliconLight) erfolgreich validiert werden kann.<br />

Die langjährige Zusammenarbeit mit dem Leibniz-<br />

Institut für Astrophysik Potsdam bei der Entwicklung<br />

und Anwendung von Siliziumnitrid-Wellenleitern ist ein<br />

Beispiel für die fruchtbare Kooperation zweier Brandenburger<br />

Institute der Leibniz-Gemeinschaft.<br />

Die exzellente Vernetzung des Joint Labs dokumentiert<br />

die folgende Auflistung wichtiger Kooperationspartner<br />

aus Industrie und Forschung:<br />

ALCATEL-LUCENT (Deutschland), CEA-LETI (Frankreich),<br />

ASTRIUM (Frankreich), FHG-HHI (Deutschland),<br />

CONSTELEX (Griechenland), FHG-IZM (Deutschland),<br />

CORIANT (Portugal), IMEC (Belgien), DAS (Spanien),<br />

TNO (Niederlande), MODULIGHT (Finnland),<br />

TYNDALL (Irland), PHOTLINE (Frankreich),<br />

UPVLC (Spanien), SECOPTA (Deutschland),<br />

Uni Southampton (Großbritannien),<br />

TELECOM ITALIA (Italien),<br />

U2T (Großbritannien & Deutschland)<br />

Im November <strong>2012</strong> war das Gemeinsame Labor Veranstalter<br />

eines mehrtägigen Trainingsworkshops der Silicon-Photonics-Technologieplattform<br />

ePIXfab mit zahlreichen<br />

Teilnehmern aus zehn verschiedenen Ländern.<br />

Neben den zahlreichen Forschungsaktivitäten verbessert<br />

das Gemeinsame Labor Silizium-Photonik auch<br />

die akademische Anbindung des <strong>IHP</strong>. Das Gemeinsame<br />

Labor ist mit zwei Vorlesungen an der TU Berlin vertreten,<br />

die von Prof. Dr. B. Tillack und Dr. L. Zimmermann<br />

gehalten werden. Im Gemeinsamen Labor werden zahlreiche<br />

Studien-, Diplom-, Master und Doktorarbeiten<br />

betreut.<br />

The joint VDI / VDE project with TU Berlin (Institut für<br />

Optik und atomare Physik) SILIMOD was started in autumn<br />

<strong>2012</strong>. A successful validation of research results<br />

of the former project SiliconLight is the main goal of<br />

this cooperation.<br />

In the frame of a bilateral cooperation with Leibniz-<br />

Institut für Astrophysik Potsdam, silicon-nitride<br />

waveguides were developed and implemented. This is<br />

an excellent example of fruitful cooperation between<br />

two Brandenburg research institutes of Leibniz Association.<br />

The excellent integration of <strong>IHP</strong>’s Joint Lab Silicon<br />

Photonics is demonstrated by the list of cooperation<br />

partners from industry and research institutes:<br />

ALCATEL-LUCENT (Germany), CEA-LETI (France),<br />

ASTRIUM (France) , FHG-HHI (Germany),<br />

CONSTELEX (Greece), FHG-IZM (Germany),<br />

CORIANT (Portugal), IMEC (Belgium), DAS (Spain),<br />

TNO (Netherlands), MODULIGHT (Finland),<br />

TYNDALL (Irland), PHOTLINE (France),<br />

UPVLC (Spain), SECOPTA (Germany),<br />

Uni Southampton (United Kingdom),<br />

TELECOM ITALIA (Italy),<br />

U2T (United Kingdom & Germany)<br />

In November <strong>2012</strong>, the Joint Lab arranged a training<br />

course for the European Silicon Photonics platform<br />

ePIXfab with numerous participants from ten countries.<br />

In addition to extensive research activities the Joint<br />

Lab actively links <strong>IHP</strong> to academia. Currently, two<br />

courses at TU Berlin are provided by <strong>IHP</strong>, lectured by<br />

Prof. B. Tillack and Dr. L. Zimmermann. A considerable<br />

number of diploma, master, and PhD theses are<br />

conducted in the frame of the Joint Lab.<br />

A n n u a l R e p o r t 2 0 1 2<br />

77


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Gemeinsames Labor <strong>IHP</strong> / HU Berlin<br />

„Drahtlose Kommunikationssysteme“<br />

Auf der Basis eines Kooperationsvertrages zwischen der<br />

Humboldt-Universität zu Berlin mit dem Leibniz Institut<br />

für innovative Mikroelektronik, <strong>IHP</strong>, wurde zur Bündelung<br />

der Forschungskompetenzen ein Gemeinsames<br />

Labor gegründet und im Mai <strong>2012</strong>, anlässlich des Tages<br />

der Informatik, offiziell eröffnet.<br />

Joint Lab <strong>IHP</strong> / HU Berlin<br />

“Wireless Communication Systems”<br />

Based on a cooperation agreement between Humboldt-University<br />

Berlin and <strong>IHP</strong>, both institutions established<br />

a Joint Lab. The purpose of the Joint Lab is<br />

to bundle the research expertise of both institutions.<br />

It was formally opened on occasion of the Day of Informatics<br />

on the 3rd of May <strong>2012</strong>.<br />

Abb. 45: Feierliche Eröffnung des Joint Lab <strong>IHP</strong> / HU Berlin anlässlich<br />

des Tages der Informatik am 03.05.<strong>2012</strong>.<br />

(v.l.n.r. Prof. Dr. Grass (<strong>IHP</strong> / HU), Prof. Dr. Meffert (HU),<br />

Prof. Dr. Kraemer (<strong>IHP</strong>), Prof. Dr. Mehr (<strong>IHP</strong>),<br />

Prof. Dr. Freytag (HU))<br />

Fig. 45: Formal opening of Joint Lab <strong>IHP</strong> / HU Berlin on occasion<br />

of the Day of Informatics on 03-05-<strong>2012</strong>.<br />

(from left: Prof. Grass (<strong>IHP</strong> / HU), Prof. Meffert (HU),<br />

prof. Kraemer (<strong>IHP</strong>), Prof. Mehr (<strong>IHP</strong>), Prof. Freytag (HU))<br />

Mit dem Aufbau und der Leitung dieses Gemeinsamen<br />

Labors ist Prof. Dr.-Ing. Eckhard Grass betraut. Das<br />

Fachgebiet „Drahtlose Kommunikationssysteme“, vertreten<br />

durch die gleichnamige Professur innerhalb der Technischen<br />

Informatik, befasst sich in Lehre und Forschung<br />

mit Kommunikationssystemen für höchste Datenraten.<br />

Prof. Grass has taken charge for establishing and for<br />

the leadership of this Joint Lab. His research field and<br />

subject of teaching is focused on Wireless Communication<br />

Systems.<br />

In der Lehre wird neben den Grundlagen der Nachrichtentechnik<br />

auch auf spezielle aktuelle Entwicklungen<br />

und Standards eingegangen. Der rapide wachsende<br />

Anteil an multimediafähigen Smartphones und die Einführung<br />

von HD- und 3D-Videostandards führt zu einem<br />

rasanten Anstieg der notwendigen Übertragungsraten<br />

für mobile Endgeräte. Ausgehend von den Kanaleigenschaften<br />

werden Algorithmen, Architekturen und Imple-<br />

In the lectured module Wireless Broadband Communications<br />

Systems, basic wireless communication<br />

techniques are taught. Special focus is on current<br />

and future wireless standards such as IEEE802.11ad<br />

and IEEE802.15.3c. The rapidly growing percentage<br />

of smartphones and other wireless devices in conjunction<br />

with the introduction of HD- and 3D video<br />

standards leads to a dramatic increase of required<br />

78 A n n u a l R e p o r t 2 0 1 2


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

mentierungsaspekte für drahtlose Kommunikationssysteme<br />

mit höchsten Datenraten erörtert. Die Teilnehmer<br />

werden an den Entwurf und die Implementierung von<br />

drahtlosen Kommunikationssystemen herangeführt.<br />

Das in der Vorlesung vermittelte Wissen wird im Praktikum<br />

angewendet und an konkreten Beispielen in einer<br />

Übung vertieft.<br />

Das Gemeinsame Labor ermöglicht eine zukunftsweisende,<br />

zieloffene und ergebnisoffene Forschungskooperation<br />

zwischen der HU Berlin und dem <strong>IHP</strong>. Die Kompetenzen<br />

beider Einrichtungen werden zum gegenseitigen<br />

Nutzen gebündelt.<br />

data rate. Based on wireless channel characteristics,<br />

algorithms, architectures and implementation<br />

aspects of wireless communication systems are presented.<br />

The students are introduced to the development<br />

and implementation of wireless systems. The<br />

material taught in the lecture is applied in a lab class<br />

and to specific problems in an exercise.<br />

The Joint Lab facilitates a future-oriented, mutually<br />

beneficial cooperation between HU-Berlin and <strong>IHP</strong>.<br />

For the benefit of both institutions their resources<br />

and competences are bundled.<br />

<strong>IHP</strong>: Applied Research<br />

Wireless Broadband Communications, Technology,<br />

Application of Wireless Systems,<br />

Localization / Ranging …<br />

• Industry projects from Airbus to VW<br />

• B<strong>MB</strong>F projects<br />

Die Forschungsschwerpunkte des Gemeinsamen Labors<br />

liegen auf den Gebieten Breitbandkommunikation,<br />

Lokalisierungsverfahren, Signalverarbeitung und Codesign.<br />

(http://www.ihp-hub-bb.jointlab.de/)<br />

HU Berlin: Basic Research<br />

Network Architectures, Model-based design,<br />

Digital Signal Processing, Distributed and<br />

Embedded Systems …<br />

• Graduation (METRIK, …)<br />

• DFG projects<br />

The main research areas pursued in the Joint Lab are<br />

Wireless Broadband Communication Systems, Localization<br />

and Ranging as well as Model Based Design.<br />

(http://www.ihp-hub-bb.jointlab.de/)<br />

A n n u a l R e p o r t 2 0 1 2<br />

79


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

Gemeinsames Labor <strong>IHP</strong> / TU Berlin<br />

„Bioelektronik“<br />

Im Juli <strong>2012</strong> wurde vom Institut für Biotechnologie<br />

der TU Berlin und dem <strong>IHP</strong> das Gemeinsame Labor für<br />

Bioelektronik gegründet. Die ersten gemeinsamen Aktivitäten<br />

haben am Fachgebiet Bioverfahrenstechnik<br />

begonnen und betreffen den Einsatz mikroelektronisch<br />

gefertigter Sensoren für das online Monitoring von Bioreaktoren.<br />

Bioreaktoren werden heute in vielfältiger Weise in<br />

der Nahrungsmittelindustrie, der industriellen Biotechnologie,<br />

zur Produktion erneuerbarer Energieträger (Bioethanol,<br />

Biogas), sowie in der Pharmaproduktion eingesetzt.<br />

Joint Lab <strong>IHP</strong> / TU Berlin<br />

“Bioelectronics”<br />

In July <strong>2012</strong> the Joint Lab Bioelectronics was<br />

founded by TU Berlin and <strong>IHP</strong>. First activities of the<br />

Joint Lab aim at the usage of microelectronic sensors<br />

for the on-line monitoring of bioreactors and<br />

fermenters. Today, bioreactors are applied in numerous<br />

ways in the food industry, industrial biotechnology,<br />

for the production of renewable energy sources (bioethanol,<br />

biogas) as well as in pharmaceutics.<br />

Abb. 46: Mikroelektromechanisches System (MEMS) des Glucosesensorchips<br />

vom <strong>IHP</strong> bestehend aus einem aus Titannitrid<br />

gefertigten Bügel in X-Form, der an vier Aufhängepunkten<br />

befestigt ist und 2,5 µm über der Grundplatte schwebt.<br />

Bei Anlegen einer elektrischen Spannung wird der Bügel<br />

mechanisch ausgelenkt und es verändert sich die<br />

elektrische Kapazität der Anordnung. Im Gemeinsamen<br />

Labor für Bioelektronik wird die Eignung solch neuartiger<br />

Biosensoren für das Monitoring von Bioreaktoren untersucht.<br />

Fig. 46: Microelectromechanical system (MEMS) of <strong>IHP</strong>’s glucose<br />

sensor chip having an X-shaped TiN beam, which is<br />

attached to four anchor points and situated 2.5 µm above<br />

a capacitor ground plate. Applying an electrical voltage<br />

causes a mechanical deflection of the beam and a variation<br />

of the MEMS capacitance. The usability of such innovative<br />

biosensors for the monitoring of bioreactors is under<br />

investigation in the Joint Lab Bioelectronics.<br />

Der verfolgte Ansatz zielt darauf ab, mikroelektronisch<br />

gefertigte Biomolekülsensoren an den Einsatz in Bioreaktoren<br />

anzupassen und damit die großen Potenziale<br />

der Mikroelektronik für die Miniaturisierung und<br />

Integration intelligenter Funktionen zu nutzen. Eine<br />

Anwendung ist dabei die Bestimmung von niedermolekularen<br />

Analyten wie z.B. Glucose, dem wichtigsten<br />

Nährstoffmolekül in Bioreaktoren. Ausgangspunkt der<br />

Aktivitäten ist der in den letzten Jahren am <strong>IHP</strong> entwickelte<br />

Sensorchip zum kontinuierlichen Glucose-Monitoring,<br />

der die Überwachung von Diabetikern zum Ziel<br />

hat. Der Chip arbeitet als mikroelektromechanisches<br />

It is intended to adapt biosensors fabricated by semiconductor<br />

technology to their usage in bioreactors<br />

and to exploit the large potentials of microelectronics<br />

for miniaturization and integration of intelligent<br />

functions. An application is the determination<br />

of low-molecular-weight analytes like glucose, the<br />

most relevant feeding source in bioreactors. Starting<br />

point of activities is the sensor chip fabricated at <strong>IHP</strong><br />

for continuous glucose monitoring, which has been<br />

developed for the monitoring of diabetic patients.<br />

The chip operates as a microelectromechanical system<br />

(MEMS) by the principle of affinity viscosimetry,<br />

80 A n n u a l R e p o r t 2 0 1 2


G e m e i n s a m e L a b o r E – J o i n t L a b S<br />

System (MEMS) nach dem Prinzip der Affinitätsviskosimetrie,<br />

d. h. er bestimmt die Konzentration von Glucose<br />

aus der Veränderung der Viskosität einer sensorischen<br />

Flüssigkeit, in dem die Glucose und ein Glucosepolymer<br />

(Dextran) um die Bindung an das pflanzliche Protein<br />

Concanavalin A (ConA) konkurrieren. Je nach Vernetzungsgrad<br />

zwischen Dextran und ConA herrscht eine von<br />

der Glucosekonzentration abhängige Viskosität, die über<br />

die Geschwindigkeit bestimmt wird, mit der sich ein mikroskopisch<br />

kleiner Bügel durch die Flüssigkeit bewegt.<br />

i.e. the concentration of glucose is determined from<br />

the modulation of viscosity of a sensoric liquid, in<br />

which glucose and a glucose polymer (dextrane) compete<br />

for the binding sites of the plant protein Concanavalin<br />

A (ConA). A glucose-modulated viscosity is<br />

adjusted by the assay which depends on the degree of<br />

cross-linking between macromolecules and which is<br />

determined from the velocity by which a microscopic<br />

beam moves within the liquid.<br />

Als weiteres Ziel hat sich das Gemeinsame Labor „Bioelektronik“<br />

die Aufgabe gestellt, Studenten der Lebenswissenschaften<br />

stärker mit der Mikroelektronik vertraut<br />

zu machen. Die Ausbildung von Studenten am Gemeinsamen<br />

Labor Bioelektronik hat das Ziel, dual ausgebildete<br />

Absolventen hervor zu bringen, die sowohl im<br />

Bereich der Mikroelektronik als auch der Lebenswissenschaften<br />

über berufsqualifizierende Kenntnisse verfügen.<br />

Im Sommersemester <strong>2012</strong> hat das erste Mal die Vorlesung<br />

„Einführung in die Bioelektronik“ stattgefunden<br />

(Dr. M. Birkholz), die im Verantwortungsbereich der Bioverfahrenstechnik<br />

(Prof. P. Neubauer) gehalten wird.<br />

In addition, a further task of the Joint Lab Bioelectronics<br />

is to bring students of life sciences into<br />

closer contact with microelectronics. The education<br />

of students at the Joint Lab aims to qualify graduates<br />

with knowledge in both biotechnology and microelectronics.<br />

A first lecture course has been held titled<br />

“Introduction in Bioelectronics” (Dr. M. Birkholz)<br />

and will be offered in the future every summer semester<br />

in the department of bioprocess engineering<br />

(Prof. P. Neubauer).<br />

A n n u a l R e p o r t 2 0 1 2<br />

81


82 A n n u a l R e p o r t 2 0 1 2<br />

Collaboration and Partners


Z u s a m m e n a r b e i t u n d P a r t n e r – C o l l a b o r a t i o n a n d P a r t n e r s<br />

Industrie / Industry*<br />

adMOS GmbH, Germany<br />

advICo microelectronics GmbH, Germany<br />

Agilent Technologies, USA<br />

Alcatel-Lucent Deutschland AG, Germany<br />

Alcatel-Thales III-V Lab, France<br />

alpha microelectronics GmbH, Germany<br />

Apache Design Solutions Inc., USA<br />

Astrium SAS, France<br />

Austriamicrosystems AG, Austria<br />

Bachmann electronic GmbH, Germany<br />

Bio Sensor Technology GmbH, Germany<br />

B•R•A•H•M•S GmbH, Germany<br />

Brandenburgklinik Berlin-Brandenburg GmbH & Co. KG,<br />

Germany<br />

Cambridge Silicon Radio Ltd., UK<br />

Centellax Inc., USA<br />

Cisco Optical GmbH, Germany<br />

Dr. Wolf Wireless GmbH, Germany<br />

Ericsson AB, Sweden<br />

European Aeronautic Defence and Space Company,<br />

Germany<br />

European Space Agency, Germany<br />

France Telecom SA, France<br />

FGUP NPP Pulsar, Russia<br />

Green Way Systems GmbH, Germany<br />

Hellenic Aerospace Industry, Greece<br />

ILBC GmbH, Germany<br />

IMST GmbH, Germany<br />

Infineon Technologies AG, Germany<br />

InnoSenT GmbH, Germany<br />

ITAVA Systems GmbH, Germany<br />

Kayser-Threde GmbH, Germany<br />

Lesswire AG, Germany<br />

LIMETEC Biotechnologies GmbH, Germany<br />

MEYTEC GmbH Informationssysteme, Germany<br />

Microelectronic Assembly Frankfurt (Oder) GmbH,<br />

Germany<br />

Mikron JSC Moscow, Russia<br />

Nanotron Technologies GmbH, Germany<br />

NXP Semiconductors Belgium N.V., Belgium<br />

Pac Tech GmbH, Germany<br />

Philips, The Netherlands<br />

Philotech GmbH, Germany<br />

PicoQuant GmbH, Germany<br />

PRIGNITZ Mikrosystemtechnik GmbH, Germany<br />

Quantum Hydrometrie Gesellschaft für Mess- und<br />

Systemtechnik mbH, Germany<br />

Robert Bosch GmbH, Germany<br />

Rohde & Schwarz GmbH & Co. KG, Germany<br />

Secopta GmbH, Germany<br />

SELMIC, Finland<br />

SENTECH Instruments GmbH, Germany<br />

SHT Smart High Tech AB, Sweden<br />

Siemens Energy Automation GmbH, Germany<br />

Silicon Radar GmbH, Germany<br />

Siltronic AG, Germany<br />

SiTec Sensortechnik GmbH, Germany<br />

Space Engineering S.P.A., Italy<br />

ST <strong>Microelectronics</strong> SA, France<br />

Telecom Italia S.P.A., Italy<br />

TES Electronic Solutions GmbH, Germany<br />

Thales, France<br />

U2t Photonics AG, Germany<br />

UP Transfer GmbH, Germany<br />

Vistec Electron Beam GmbH, Germany<br />

VI Systems GmbH, Germany<br />

X-FAB Semiconductor Foundries AG, Germany<br />

*Ausgewählte Partner / Selected Partners<br />

A n n u a l R e p o r t 2 0 1 2<br />

83


Z u s a m m e n a r b e i t u n d P a r t n e r – C o l l a b o r a t i o n a n d P a r t n e r s<br />

Forschungsinstitute und Universitäten / Research Institutes and Universities*<br />

Brandenburg University of Applied Sciences,<br />

Germany<br />

Brandenburg University of Technology, Germany<br />

CERN, Switzerland<br />

CSIRO Sydney, Australia<br />

Chemnitz University of Technology, Germany<br />

Democritus University of Thrace, Greece<br />

Deutsches Elektronen-Synchrotron DESY, Germany<br />

ETH Zürich, Switzerland<br />

ETRI- Electronics and Telecommunications Research<br />

Institute, Korea<br />

European Synchrotron Radiation Facility, France<br />

European University Viadrina, Germany<br />

Federal Office for Information Security, Germany<br />

Ferdinand-Braun-Institut, Leibniz-Institut für<br />

Höchstfrequenztechnik, Germany<br />

Forschungszentrum Jülich, Germany<br />

FORTH – Foundation for Research and<br />

Technology – Hellas, Greece<br />

Fraunhofer ENAS, Germany<br />

Fraunhofer HHI, Germany<br />

Fraunhofer IBMT, Germany<br />

Fraunhofer IIS, Germany<br />

Fraunhofer IZM, Germany<br />

French National Center for Scientific Research,<br />

France<br />

Friedrich-Alexander-Universität Erlangen-Nuremberg,<br />

Germany<br />

German Aerospace Center, Germany<br />

Hamburg University of Technology, Germany<br />

Helmholtz-Centre Berlin for Materials and Energy,<br />

Germany<br />

Humboldt-Universität zu Berlin, Germany<br />

Ilmenau University of Technology, Germany<br />

IMEC, Belgium<br />

Institute for Solar Energy Research Hameln/<br />

Emmerthal, Germany<br />

Institute of <strong>Microelectronics</strong>, Singapore<br />

Institute of Nanotechnology, Germany<br />

Karlsruhe Institute of Technology, Germany<br />

KTH Royal Institute of Technology, Sweden<br />

Leibniz Institute for Crystal Growth, Germany<br />

Leibniz Institute for Solid State and Materials<br />

Research Dresden, Germany<br />

Leibniz University Hannover, Germany<br />

Leti, France<br />

Linköping University, Sweden<br />

Max Planck Institute for Microstructure Physics,<br />

Germany<br />

<strong>Microelectronics</strong> Research Institute “Progress”,<br />

Russia<br />

National and Kapodistrian University of Athens,<br />

Greece<br />

*Ausgewählte Partner / Selected Partners<br />

84 A n n u a l R e p o r t 2 0 1 2


Z u s a m m e n a r b e i t u n d P a r t n e r – C o l l a b o r a t i o n a n d P a r t n e r s<br />

National Taiwan University, Taiwan<br />

National University of Ireland, Ireland<br />

Netherlands Organisation for Applied Scientific<br />

Research, The Netherlands<br />

Otto von Guericke University Magdeburg, Germany<br />

Paul Drude Institute for Solid State Electronics,<br />

Germany<br />

Poznan University of Technology, Poland<br />

Ruhr-University Bochum, Germany<br />

RWTH Aachen, Germany<br />

Sabanci University, Turkey<br />

Technical University of Darmstadt, Germany<br />

Technical University of Applied Sciences Wildau,<br />

Germany<br />

Technical University of Berlin, Germany<br />

Technical University of Braunschweig, Germany<br />

Technical University of Dresden, Germany<br />

Technical University of Madrid, Spain<br />

Telemedicine Center Charité – Universitätsmedizin<br />

Berlin, Germany<br />

Tohoku University, Japan<br />

TU Delft, The Netherlands<br />

UCL Leuven, Belgium<br />

Tyndall National Institute, Ireland<br />

Universitat Politècnica de València, Spain<br />

Université Bordeaux 1, France<br />

University of Barcelona, Spain<br />

University of Bologna, Italy<br />

University of Bremen, Germany<br />

University of California, Los Angeles, USA<br />

University of Cantabria, Spain<br />

University of Kassel, Germany<br />

University of Lisboa, Portugal<br />

University of Osnabrück, Germany<br />

University of Paderborn, Germany<br />

University of Potsdam, Germany<br />

University of Stuttgart, Germany<br />

University of Surrey, UK<br />

University of Toronto, Canada<br />

University of Trento, Italy<br />

University of Udine, Italy<br />

University of Ulm, Germany<br />

University of Wuppertal, Germany<br />

Uppsala University, Sweden<br />

VTT Technical Research Centre of Finland, Finland<br />

West Pomeranian University of Technology, Szczecin,<br />

Poland<br />

Wroclaw University of Technology, Poland<br />

Yonsei University, Korea<br />

Zhejiang University, China<br />

A n n u a l R e p o r t 2 0 1 2<br />

85


86 A n n u a l R e p o r t 2 0 1 2<br />

Guest Scientists and Seminars


G a s t w i s s e n s c h a f t l e r u n d S e m i n a r e – G u e s t S c i e n t i s t s a n d S e m i n a r s<br />

Gastwissenschaftler / Guest Scientists<br />

Gastwissenschaftler Institution Forschungsgebiet<br />

Guest Scientists Institution R research Area<br />

1. Mr. Jakob Anders university of Potsdam, Germany System Design<br />

2. Mr. Michael Augustin Brandenburg University of Technology, Germany System Design<br />

3. Mr. Sergey Belyaev Moscow State Institute of Electronic Technology, Russia Technology<br />

4. Mr. Oleksandr Beznosyk national Technical University of Ukraine Kiev System Design<br />

polytechnic Institute, Ukraine<br />

5. prof. Giovanni Capellini Sapienza University of Rome, Italy Materials Research<br />

6. Mr. Anton Datsuk polyteda, Russia System Design &<br />

technology<br />

7. Dr. Rafal Dlugosz university of Technology and Life Sciences Materials Research<br />

in Bydgoszcz, Poland<br />

8. Mr. Andrzej Gajda technical University of Berlin, Germany technology<br />

9. Dr. Niu Gang lyon Nanotechnology Institute, France Materials Research<br />

10. Dr. Yasar Gürbüz Sabanci University, Turkey technology<br />

11. Prof. Christoph Jungemann RWTH Aachen, Germany technology<br />

12. Dr. Wojciech Koczorowski poznan University of Technology, Poland Materials Research<br />

13. Mrs. Minsu Ko Yonsei University, Korea Circuit Design<br />

14. Mrs. Despoina Petousi technical University of Berlin, Germany technology<br />

15. Mrs. Vladica Sark Humboldt-Universität zu Berlin, Germany System Design<br />

16. Mrs. Nemanja Savic German Aerospace Center, Germany System Design<br />

17. Prof. Valeriy Stikanov national Technical University of Ukraine Kiev System Design<br />

polytechnic Institute, Ukraine<br />

18. Dr. Adam Szyszka Wroclaw University of Technology, Poland Materials Research<br />

19. Dr. Ibrahim Tekin Sabanci University, Turkey technology<br />

20. Mrs. Nuria Torres Matabosch French National Center for Scientific Research, France technology<br />

21. Mr. Christoph Tzschoppe technical University of Dresden, Germany System Design<br />

22. Mr. Ali Umair university of Paderborn, Germany Circuit Design<br />

23. Mrs. Pu Wang university of California, Los Angeles, USA Materials Research<br />

24. Mr. Adam Wykrota poznan University of Technology, Poland Materials Research<br />

25. Prof. Ya-Hong Xie university of California, Los Angeles, USA Materials Research<br />

26. Mr. Chong Zhang university of Surrey, United Kingdom technology<br />

A n n u a l R e p o r t 2 0 1 2<br />

87


G a s t w i s s e n s c h a f t l e r u n d S e m i n a r e – G u e s t S c i e n t i s t s a n d S e m i n a r s<br />

Seminare / Seminars<br />

Vortragender Institution Thema<br />

Presenter Institution topic<br />

1. Dr. Dan Mihai Buca Forschungszentrum Jülich, Germany Strained Si(Ge) Channels and Epitaxial<br />

Silicides for High Mobility QW-MOSFETs<br />

2. Dr. Stefano Chiussi University of Vigo, Spain pulsed UV-laser Processing of<br />

Amorphous and Crystalline Group<br />

IV Semiconductors<br />

3. Dr. Regina Dittmann Forschungszentrum Jülich, Germany Nanoscale Analysis of Electroforming<br />

and Switching in Resistive<br />

Switching SrTiO 3<br />

Devices<br />

4. Mr. Michael Engel Karlsruhe Institute of Technology (KIT), Light-Matter Interaction in a<br />

Institute of Nanotechnology (INT), Germany Microcavity-Controlled Graphene<br />

T transistor<br />

5. Dr. Jan Ingo Flege University of Bremen, Germany Cerium Oxide on Metal and<br />

Semiconductor Surfaces<br />

6. Dr. Cary Gunn Genalyte, USA Silicon Photonics Technology for<br />

Diagnostics and Biological Research<br />

7. Dr. Manfred Hild Humboldt-Universität zu Berlin, Germany Cognitive Sensorimotor Loops<br />

8. Dr. Michael Hochberg University of Delaware, USA Silicon Integrated Optoelectronics:<br />

A Transition from Devices to Systems<br />

9. Prof. Christoph RWTH Aachen, Germany Microscopic Simulation of Noise<br />

Jungemann<br />

in SiGe HBTs<br />

10. Prof. Josif Kjosev Ss. Cyril and Methodius University Switched-Capacitor Power Converters:<br />

in Skopje, Macedonia<br />

Basics, Overview and Current State<br />

11. Dr. Michael Lehmann Technical University of Berlin, Germany (HR)TEM and Electron Holography<br />

of Semiconductor Nanophotonic<br />

Devices<br />

12. Prof. Juin-J. Liou University of Central Florida, USA Recent Development in Electrostatic<br />

Discharge (ESD) Protection of RF<br />

Integrated Circuits<br />

13. Dr. Jens Martin University of Exeter, UK local Compressibility Measurements<br />

of Correlated States in Suspended<br />

Bilayer Graphene<br />

88 A n n u a l R e p o r t 2 0 1 2


G a s t w i s s e n s c h a f t l e r u n d S e m i n a r e – G u e s t S c i e n t i s t s a n d S e m i n a r s<br />

Vortragender Institution Thema<br />

Presenter Institution topic<br />

14. Prof. Janina Maultzsch Technical University of Berlin, Germany Raman Spectroscopy of Graphene<br />

15. Prof. Klaus-Peter Brandenburg University of Applied Sciences, IR-Thermography with Microscopic<br />

Möllmann Germany Spatial Resolution and High Time-<br />

Resolution<br />

16. Prof. Joachim KTH Royal Institute of Technology, Sweden Monocrystalline Silicon Microwave<br />

Oberhammer<br />

MEMS Devices at KTH Royal Institute<br />

of Technology, Stockholm<br />

17. Dr. Michele Ortolani Istituto di Fotonica e Nanotecnologie Strained Ge / SiGe Heterostructures<br />

(CNR-IFN), Rome, Italy<br />

for Silicon-Based Emitters and<br />

Amplifiers of Radiation in the<br />

T terahertz Range<br />

18. Prof. Jacob Piehler University of Osnabrück, Germany Functional Organization of Proteins<br />

into Micropatterns<br />

19. Dr. Stefan Schmult Technical University of Dresden, Germany State-of-the-art GaN based<br />

Heterostructures Grown by <strong>MB</strong>E<br />

20. Prof. Andreas Stierle DESY and University of Hamburg, Germany Novel X-ray Diffraction Schemes for<br />

the Investigation of Nanoparticles<br />

under Reaction Conditions<br />

21. Prof. Christoph Leibniz University Hannover, Germany Low Dimensional Electron Gases at<br />

Tegenkamp<br />

Surfaces: Transport Properties<br />

and Collective Excitations<br />

22. Dr. Andrey Turchanin Bielefeld Institute for Biophysics and A Molecular Route to Carbon<br />

N nanoscience, Germany nanomembranes and Graphene for<br />

Functional Applications<br />

23. Prof. Michele Virgilio University of Pisa, Italy Band Structure Engineering of Ge-rich<br />

SiGe Nanostructures for<br />

P photonics Applications<br />

24. Prof. Rainer Waser Forschungszentrum Jülich, Germany ReRAM – a Case Study of Wishful<br />

T thinking and Pitfalls<br />

A n n u a l R e p o r t 2 0 1 2<br />

89


P u b l i k a t i o n e n – P u b l i c a t i o n s<br />

Publications<br />

90 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

Erschienene Publikationen<br />

Published Papers<br />

(1) entwurf fehlertoleranter Zustandsautomaten<br />

mit variablem Schutz für<br />

spezifische Eingabesequenzen<br />

M. Augustin, M. Gössel, R. Kraemer<br />

Proc. 24. GI / GMM / ITG-Workshop: Testmethoden<br />

und Zuverlässigkeit von<br />

Schaltungen und Systemen, 47 (<strong>2012</strong>)<br />

(2) Ce x<br />

Al<br />

y<br />

O z<br />

/ TiN Stack Analysis for MIM<br />

applications: Effect of Annealing and the<br />

Metal Electrode Deposition Method<br />

C. Baristiran Kaynak, M. Lukosius, B. Tillack,<br />

Ch. Wenger, A. Abrutis, M. Skapas<br />

Thin Solid Films 520, 4518 (<strong>2012</strong>)<br />

(3) energy Budget of an Implantable Glucose<br />

Measurement System<br />

T. Basmer, D. Genschow, M. Fröhlich,<br />

M. Birkholz<br />

Biomedical Technology 57, 276 (<strong>2012</strong>)<br />

(4) nanostructured Silicon for Ge<br />

nanoheteroepitaxy<br />

J. Bauer, Y. Yamamoto, P. Zaumseil,<br />

O. Fursenko, K. Schulz, G. Kozlowski,<br />

T. Schroeder, B. Tillack<br />

Microelectronic Engineering 97, 169 (<strong>2012</strong>)<br />

(5) electrical Characterization of Advanced<br />

MIM Capacitors with ZrO 2<br />

Insulator for<br />

high-Density Packaging and RF Applications<br />

T. Bertaud, C. Bermond, S. Blonkowski,<br />

Ch. Vallée, T. Lacrevaz, A. Farcy, M. Gros-Jean,<br />

B. Fléchet<br />

IEEE Transactions on Components, Packaging<br />

and Manufacturing Technology 2(3), 502<br />

(<strong>2012</strong>)<br />

(6) hfO 2<br />

-based RRAM for Embedded Non-<br />

Volatile Memory: From Materials Science to<br />

Integrated 1T1R RRAM Arrays<br />

T. Bertaud, D. Walczyk, M. Sowinska,<br />

D. Wolansky, B. Tillack, G. Schoof,<br />

V. Stikanov, Ch. Wenger, S. Thiess,<br />

T. Schroeder, Ch. Walczyk<br />

ECS Transactions 50(4), 21 (<strong>2012</strong>)<br />

(7) In-Operando and Non-Destructive Analysis<br />

of the Resistive Switching in the<br />

ti / HfO 2<br />

/ TiN-based System by Hard X-Ray<br />

photoelectron Spectroscopy<br />

T. Bertaud, M. Sowinska, D. Walczyk, S. Thiess,<br />

A. Glosovskii, Ch. Walczyk, T. Schroeder<br />

Applied Physics Letters 101, 143501 (<strong>2012</strong>)<br />

(8) resistive Switching of HfO 2<br />

based Metal-<br />

Insulator-Metal Diodes: Impact of the Top<br />

electrode Material<br />

T. Bertaud, D. Walczyk, Ch. Walczyk,<br />

Ch. Wenger, S. Kubotsch, M. Sowinska,<br />

T. Schroeder, Ch. Wenger, C. Vallée, P. Gonon,<br />

C. Mannequin, V. Jousseaume, H. Grampeix<br />

Thin Solid Films 520, 4551 (<strong>2012</strong>)<br />

(9) resistive Switching of Ti / HfO 2<br />

-based<br />

Memory Devices: Impact of the Atmosphere<br />

and Oxygen Partial Pressure<br />

T. Bertaud, M. Sowinska, D. Walczyk,<br />

Ch. Walczyk, St. Kubotsch, Ch. Wenger,<br />

T. Schroeder<br />

IOP Conference Series: Material Science and<br />

Engineering 41, 012018 (<strong>2012</strong>)<br />

(10) Minimal-invasiver Blutzuckersensor (MIBS)<br />

M. Birkholz, K.-E. Ehwald, M. Fröhlich,<br />

P. Kulse, T. Basmer, R. Ehwald, T. Guschauski,<br />

U. Stoll, H. Siegel, S. Schmaderer, J. Szeponik,<br />

D. Zahn<br />

Proc. 16. GMA / ITG-Fachtagung Sensoren<br />

und Messsysteme <strong>2012</strong>, 177 (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

91


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(11) textured Strontium Titanate Layers on<br />

platinum by Atomic Layer Deposition<br />

T. Blomberg, J. Anttila, S. Haukka,<br />

M. Touminen, M. Lukosius, Ch. Wenger,<br />

T. Saukkonen<br />

Thin Solid Films 520, 6535 (<strong>2012</strong>)<br />

(12) Support for a Long Lifetime and Short<br />

end-to-End Delays with TDMA Protocols<br />

in Sensor Networks<br />

M. Brzozowski, H. Salomon, P. Langendörfer<br />

International Journal of Distributed Sensor<br />

Networks (<strong>2012</strong>)<br />

(13) high Temperature X-Ray Diffraction<br />

Measurements on Ge / Si(001) Heterostructures:<br />

a Study on the Residual Tensile<br />

Strain<br />

G. Capellini, M. De Seta, P. Zaumseil,<br />

G. Kozlowski, T. Schroeder<br />

Journal of Applied Physics 111, 073518<br />

(<strong>2012</strong>)<br />

(14) tensile Strained Ge Layers Obtained Via<br />

a Si-CMOS Compatible Approach<br />

G. Capellini, G. Kozlowski, Y. Yamamoto,<br />

M. Lisker, T. Schroeder, A. Ghrib,<br />

M. de Kersauson, M. El Kurdi, P. Boucaud,<br />

B. Tillack<br />

Proc. International SiGe Technology and<br />

Device Meeting (ISTDM <strong>2012</strong>), (<strong>2012</strong>)<br />

(15) narrow Intersubband Transition in n-type<br />

Ge / SiGe Multi Quantum Wells: Control<br />

of the Terahertz Absorption Energy Through<br />

the Temperature Dependent Depolarization<br />

Shift<br />

M. De Seta, G. Capellini, M. Ortolani,<br />

M. Virgilio, G. Grosso, G. Nicotra, P. Zaumseil<br />

Nanotechnology 23, 465708 (<strong>2012</strong>)<br />

(16) 120 GHz Radar Mixed-Signal Transceiver<br />

W. Debski, W. Winkler, Y. Sun, M. Marinkovic,<br />

J. Borngräber, J.C. Scheytt<br />

Proc. European Microwave Integrated Circuit<br />

Conference (EuMIC <strong>2012</strong>), (<strong>2012</strong>)<br />

(17) an X-Band, High Performance, SiGe-HBT<br />

power Amplifier for Phased Arrays<br />

T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz<br />

Proc. European Microwave Conference, (EuMW<br />

<strong>2012</strong>), 472 (<strong>2012</strong>)<br />

(18) Side Channel Attacks and the Non-Volatile<br />

Memory of the Future<br />

Z. Dyka, Ch. Walcyk, D. Walczyk, Ch. Wenger,<br />

P. Langendörfer<br />

Proc. International Conference on Compilers,<br />

Architectures and Synthesis for Embedded<br />

Systems (CASES <strong>2012</strong>), 13 (<strong>2012</strong>)<br />

(19) towards Strong Security in Embedded and<br />

pervasive Systems: Energy and Area<br />

optimized Serial Polynomial Multipliers<br />

in GF(2 k )<br />

Z. Dyka, P. Langendörfer, F. Vater, St. Peter<br />

Proc. 5 th IFIP International Conference on<br />

New Technologies, Mobility and Security,<br />

(NTMS <strong>2012</strong>), (<strong>2012</strong>)<br />

(20) 60 GHz Wireless Broadband Cable<br />

replacement for Machine Vision<br />

applications<br />

M. Ehrig, M. Petri<br />

Proc. International Symposium on Signals,<br />

Systems and Electronics (ISSSE <strong>2012</strong>), (<strong>2012</strong>)<br />

(21) a 60 GHz Eight-Element Phased-Array<br />

receiver Front-End in 0.25 µm SiGe BiCMOS<br />

technology<br />

M. Elkhouly, Ch.-S. Choi, S. Glisic, F. Ellinger,<br />

J.C. Scheytt<br />

EuMA International Journal of Microwave and<br />

Wireless Technologies 4(06), 579 (<strong>2012</strong>)<br />

92 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(22) exploring Pausible Clocking Based GALS<br />

design for 40-nm System Integration<br />

X. Fan, M. Krstic, E. Grass, B. Sanders, Ch. Heer<br />

Proc. Design, Automation and Test in Europe,<br />

(DATE <strong>2012</strong>), 1118 (<strong>2012</strong>)<br />

(23) performance Analysis of GALS Datalink<br />

based on Pausible Clocking<br />

X. Fan, M. Krstic, E. Grass<br />

Proc. 18 th IEEE International Symposium on<br />

Asynchronous Circuits and Systems (ASYNC<br />

<strong>2012</strong>), 126 (<strong>2012</strong>)<br />

(24) Simulation Methodology for Dose Effects<br />

in Lateral DMOS Transistors<br />

P. Fernandez-Martinez, F.R. Palomo, S. Diez,<br />

S. Hidalgo, M. Ullan, D. Flores, R. Sorge<br />

<strong>Microelectronics</strong> Journal 43, 50 (<strong>2012</strong>)<br />

(25) long-Term Reliability of High-Performance<br />

SiGe:C Heterojunction Bipolar Transistors<br />

G.G. Fischer, D. Micusik, A. Pocej<br />

IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting, (BCTM <strong>2012</strong>), 182 (<strong>2012</strong>)<br />

(26) untersuchungen zur Biostabilität eines<br />

implantierbaren Glucosesensors<br />

M. Fröhlich, K.-E. Ehwald, P. Kulse,<br />

O. Fursenko, J. Katzer, M. Birkholz<br />

Proc. DPG Frühjahrstagung, Fachverband<br />

Biologische Physik, BP 8.15 (<strong>2012</strong>)<br />

(27) Biostability of an Implantable Glucose<br />

Sensor Chip<br />

M. Fröhlich, M. Birkholz, K.-E. Ehwald,<br />

P. Kulse, O Fursenko, J. Katzer<br />

IOP Conference Series: Materials Science and<br />

Engineering 41, 012022 (<strong>2012</strong>)<br />

(28) Characterization of Si Nanowaveguide Line<br />

edge Roughness and its Effect on Light<br />

transmission<br />

O. Fursenko, J. Bauer, A. Knopf,<br />

St. Marschmeyer, L. Zimmermann, G. Winzer<br />

Materials Science and Engineering B 177(10),<br />

750 (<strong>2012</strong>)<br />

(29) optical Properties and Band Gap Characterization<br />

of High Dielectric Constant<br />

oxides<br />

O. Fursenko, J. Bauer, G. Lupina, P. Dudek,<br />

M. Lukosius, Ch. Wenger, P. Zaumseil<br />

Thin Solid Films 520, 4532 (<strong>2012</strong>)<br />

(30) highly Efficient CW Parametric Conversion<br />

at 1550 nm in SOI Waveguides by Reverse<br />

biased p-i-n Junction<br />

A. Gajda, L. Zimmermann, G. Winzer, H. Tian,<br />

M.J. Far, R. Elschner, T. Richter, C. Schubert,<br />

B. Tillack, K. Petermann<br />

Optics Express 20(12), 13100 (<strong>2012</strong>)<br />

(31) high Efficiency CW Four-Wave Mixing at<br />

1.5 µm in SOI Nano-Rib Waveguides Using<br />

p-i-n Diodes<br />

A. Gajda, G. Winzer, B. Tillack, K. Petermann,<br />

L. Zimmermann, H. Tian, R. Elschner,<br />

T. Richter, C. Schubert<br />

Proc. 9 th International Conference on Group<br />

IV Photonics, 225 (<strong>2012</strong>)<br />

(32) 60 GHz Polarimetric MIMO Sensing:<br />

architectures and Technology<br />

A.P. Garcia Ariza, R. Müller, R. Stephan,<br />

F. Wollenschläger, A. Schulz, M. Elkhouly,<br />

J.C. Scheytt, U. Trautwein, J. Müller,<br />

R.S. Thomä, M.A. Hein<br />

Proc. European Conference on Antennas and<br />

Propagation, (EuCAP <strong>2012</strong>), 2578 (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

93


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(33) Synchronous Signal Acquisition and<br />

processing in FMCW-Radar Applications<br />

D. Genschow, M. Mahlig<br />

Proc. International Symposium on Signals,<br />

Systems and Electronics (ISSSE <strong>2012</strong>), (<strong>2012</strong>)<br />

(34) Continuously Tunable Delay Line Based on<br />

SOI Tapered Bragg Gratings<br />

I. Giuntoni, D. Stolarek, D.I. Kroushkov,<br />

J. Bruns, L. Zimmermann, B. Tillack,<br />

K. Petermann<br />

Optics Express 20(10), 11241 (<strong>2012</strong>)<br />

(35) asynchronous Circuit Design: From Basics<br />

to Practical Applications<br />

E. Grass, M. Krstic, X. Fan, St. Zeidler<br />

Proc. of the 15th IEEE Symposium on Design<br />

and Diagnostics of Electronic Circuits and<br />

Systems Symposium (DDECS <strong>2012</strong>), 5 (<strong>2012</strong>)<br />

(36) 130 Nanometer CMOS MEMS Based Novel<br />

Microfluidic System for Cytometry at<br />

5 GHz to 7 GHz<br />

S. Guha, K. Schmalz, Ch. Wenger,<br />

W. Krautschneider<br />

Proc. 1 st International Conference on<br />

MicroFluidic Handling Systems (MFHS <strong>2012</strong>),<br />

(<strong>2012</strong>)<br />

(37) advanced Transistor Architectures for<br />

half-Terahertz SiGe HBTs<br />

B. Heinemann, A. Fox, H. Rücker<br />

ECS Transactions 50(9), 61 (<strong>2012</strong>)<br />

(38) eine strahlungsresistente 0.13 Mikrometer<br />

CMOS Bibliothek<br />

U. Jagdhold<br />

Proc. VDE VDI Zuverlässigkeit und Entwurf,<br />

(<strong>2012</strong>)<br />

(39) Clock Jitter Generator with Picoseconds<br />

resolution<br />

G. Jovanovic, M. Stojcev, T. Nikolic,<br />

Z. Stamenkovic<br />

Proc. 28 th International Conference on<br />

<strong>Microelectronics</strong> (MIEL <strong>2012</strong>), 369 (<strong>2012</strong>)<br />

(40) programmable Jitter Generator Based<br />

on Voltage Controlled Delay Line<br />

G. Jovanovic, M. Stojcev, T. Nikolic,<br />

Z. Stamenkovic<br />

Scientific Publications of State University<br />

of Novi Pazar Series A: Applied Mathematics,<br />

Informatics and Mechanics 4(1), 61 (<strong>2012</strong>)<br />

(41) development of CMOS Integrated AIN<br />

Based SAW-Filter and the Role of Si<br />

Substrate Resistivity<br />

U. Kaletta, D. Wolansky, M. Fraschke,<br />

Ch. Wenger<br />

Proc. <strong>2012</strong> International Conference<br />

Semiconductor Conference Dresden –<br />

Grenoble (ISCDG), (<strong>2012</strong>)<br />

(42) Integrated ZnO and AIN based Surface<br />

acoustic Wave Devices on Silicon<br />

U. Kaletta, Ch. Wenger, P.V. Santos,<br />

S. Rauwerdink, W. Seidel, M. Fraschke,<br />

D. Wolansky, A. Scheit<br />

Proc. 2 nd International Advances in Applied<br />

Physics and Materials Science Congress<br />

(APMAS <strong>2012</strong>), abstr. book, 183 (<strong>2012</strong>)<br />

(43) p-type Doping of Silicon Suitable for<br />

Structures with High Aspect Ratios by<br />

using a Dopant Source of Boron Oxide<br />

Grown by Atomic Layer Deposition<br />

B. Kalkofen, V.M. Mothukuru, M. Lisker,<br />

E.P. Burte<br />

ECS Transactions 45 (6), 55 (<strong>2012</strong>)<br />

94 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(44) a SiGe Switched LNA for X-Band<br />

phased-Arrays<br />

I. Kalyoncu, T. Dinc, M. Kaynak, Y. Gurbuz<br />

Proc. European Microwave Conference<br />

(EuMW <strong>2012</strong>), 103 (<strong>2012</strong>)<br />

(45) direct Band Gap Luminescence from<br />

Ge Pin Diodes on Si Substrates<br />

E. Kasper, M. Oehme, J. Werner, T. Arguirov,<br />

M. Kittler<br />

Frontiers of Optoelectronics in China 5(3),<br />

256 (<strong>2012</strong>)<br />

(46) room Temperature Direct Band Gap<br />

emission from Ge p-i-n Heterojunction<br />

photodiodes<br />

E. Kasper, M. Oehme, T. Arguirov, J. Werner,<br />

M. Kittler, J. Schulze<br />

Advances in OptoElectronics (<strong>2012</strong>)<br />

(47) Behavior of N Atoms after Thermal<br />

nitridation of Si 1-x<br />

Ge x<br />

Surface<br />

T. Kawashima, M. Sakuraba, B. Tillack,<br />

J. Murota<br />

Thin Solid Films 520, 3392 (<strong>2012</strong>)<br />

(48) a Q-Band RF-MEMS Absorptive SPST in<br />

a BiCMOS Technology<br />

M. Kaynak, W. Zhang, M. Wietstruck, B. Tillack<br />

Proc. 13 th Symposium on RF-MEMS and RF-<br />

Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(49) BiCMOS Embedded RF-MEMS Technologies<br />

for mm-Wave Applications<br />

M. Kaynak<br />

Proc. European Microwave Conference<br />

(EuMW <strong>2012</strong>), (<strong>2012</strong>)<br />

(50) Capacitance Tuning Behavior of a BiCMOS<br />

embedded RF-MEMS Switch<br />

M. Kaynak, M. Wietstruck, W. Zhang, R. Scholz,<br />

B. Tillack<br />

Novel RF MEMS Technologies, (Series in Micro<br />

and Nanoengineering 20) / Ed: G. Papaioanou<br />

u.a., Bucuresti: Editura, Academiei Romane,<br />

93 (<strong>2012</strong>)<br />

(51) latest Developments on SiGe Technology<br />

and RFMEMS-BiCMOS Co-Integration<br />

M. Kaynak<br />

Proc. GigaHertz <strong>2012</strong> Symposium, (<strong>2012</strong>)<br />

(52) MEMS Module Integration into SiGe BiCMOS<br />

technology for Embedded System<br />

applications<br />

M. Kaynak, V. Valenta, H. Schumacher,<br />

B. Tillack<br />

Proc. IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting, (BCTM <strong>2012</strong>), 49 (<strong>2012</strong>)<br />

(53) rF-MEMS Switch Module in a 0.25 μm<br />

BiCMOS Technology<br />

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews,<br />

R. Scholz, D. Knoll, F. Korndörfer, C. Wipf,<br />

K. Schulz, M. Elkhouly, K. Kaletta,<br />

M. v. Suchodoletz, K. Zoschke, M. Wilke,<br />

O. Ehrmann, V. Mühlhaus, G. Liu, T. Purtova,<br />

A. C. Ulusoy, H. Schumacher, B. Tillack<br />

Proc. Silicon Monolithic Integrated Circuits<br />

on RF Systems (SiRF <strong>2012</strong>), 25 (<strong>2012</strong>)<br />

(54) packaged BiCMOS Embedded RF-MEMS<br />

Switches with Integrated Inductive Loads<br />

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews,<br />

R. Barth, D. Knoll, F. Korndörfer, R. Scholz,<br />

K. Schulz, C. Wipf, B. Tillack, K. Kaletta,<br />

M. v. Suchodoletz, K. Zoschke, M. Wilke,<br />

O. Ehrmann, A. C. Ulusoy, T. Purtova,<br />

G. Liu, H. Schumacher<br />

Proc. International Microwave Symposium<br />

(IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

95


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(55) SFDR Considerations for Current Steering<br />

high-Speed Digital to Analog Converters<br />

M. Khafaji, J.C. Scheytt, U. Jörges, C. Carta,<br />

D. Micusik, F. Ellinger<br />

Proc. IEEE Bipolar / BiCMOS Circuits and<br />

Technology Meeting, Portland, (BCTM <strong>2012</strong>),<br />

169 (<strong>2012</strong>)<br />

(56) Strain Control of Si and Si 1-y<br />

C y<br />

Layers in<br />

Si / Si 1-y<br />

C y<br />

/ Si(100) Heterostructures<br />

T. Kikuchi, M. Sakuraba, I. Costina, B. Tillack,<br />

J. Murota<br />

Proc. 6 th International SiGe Technology and<br />

Device Meeting (ISTDM <strong>2012</strong>),<br />

(57) Comparison of the Impact of Thermal<br />

treatments on the Second and on the<br />

Millisecond Scales on the Precipitation of<br />

Interstitial Oxygen (ECS Proceedings)<br />

G. Kissinger, D. Kot, W. von Ammon<br />

ECS Transactions 50(5), 145 (<strong>2012</strong>)<br />

(58) Comparison of the Impact of Thermal<br />

treatments on the Second and on the<br />

Millisecond Scales on the Precipitation<br />

of Interstitial Oxygen<br />

G. Kissinger, D. Kot, W. von Ammon<br />

ECS Journal of Solid State Science and<br />

Technology 1(6), P269 (<strong>2012</strong>)<br />

(59) nitrogen Doped 300 mm Czochralski<br />

Silicon Wafers Optimized with Respect<br />

to Voids with Laterally Homogeneous<br />

Internal Getter Capabilities<br />

G. Kissinger, G. Raming, R. Wahlich, T. Müller<br />

Materials Science Forum 725, 221 (<strong>2012</strong>)<br />

(60) oxygen Precipitation after Thermal<br />

processing on the Second and on the<br />

Millisecond Scales<br />

G. Kissinger, D. Kot, W. von Ammon,<br />

J. Dabrowski, A. Sattler<br />

Proc. 6 th International Symposium on<br />

Advanced Science and Technology of Silicon<br />

Materials, 15 (<strong>2012</strong>)<br />

(61) preface: Advanced Silicon Materials<br />

research for Electronic and Photovoltaic<br />

applications<br />

G. Kissinger, S. Pizzini, H. Yamada-Kaneta,<br />

J. Kang<br />

Physica Status Solidi C 9, (10–11), 1865<br />

(<strong>2012</strong>)<br />

(62) 300 mm Czochralski Silicon Wafers<br />

optimized with Respect to Voids with<br />

laterally Homogeneous Oxygen<br />

precipitation<br />

G. Kissinger, G. Raming, R. Wahlich, T. Müller<br />

Physica B: Condensed Matter 407(15), 2993<br />

(<strong>2012</strong>)<br />

(63) distribution of Defects and Breakdown<br />

Sites in UMG-Si Solar Cells Studied by<br />

luminescence Imaging<br />

A. Klossek, D. Mankovics, M. Kittler<br />

Energy Procedia 27, 143 (<strong>2012</strong>)<br />

(64) a Highly Integrated IR-UWB Transceiver<br />

for Communication and Localization<br />

O. Klymenko, D. Martynenko, G. Fischer<br />

Proc. International System on Chip Design<br />

Conference (SOC Design <strong>2012</strong>), 57 (<strong>2012</strong>)<br />

(65) low-Cost, High-Voltage SiGe:C HBTs<br />

for a 0.18 µm BiCMOS Process<br />

D. Knoll, V. Dmitriev, T. Egorova, V. Seletskij,<br />

N. Shelepin, R. Barth, G.G. Fischer, T. Grabolla,<br />

B. Tillack<br />

Proc. IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting, Portland, (BCTM <strong>2012</strong>), 153 (<strong>2012</strong>)<br />

96 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(66) Substrate Design and Thermal Budget<br />

tuning for Integration of Photonic<br />

Components in a High-Performance<br />

SiGe:C BiCMOS Process<br />

D. Knoll, H.H. Richter, B. Heinemann,<br />

S. Lischke, Y. Yamamoto, L. Zimmermann,<br />

B. Tillack<br />

ECS Transactions 50(9), 297 (<strong>2012</strong>)<br />

(67) Silicon Photonics-Wireless Interface IC<br />

for 60-GHz Wireless Link<br />

M. Ko, J.-S. Youn, M.-J. Lee, K.-C. Choi,<br />

H. Rücker, W.-Y. Choi<br />

IEEE Photonics Technology Letters 24(13),<br />

1112 (<strong>2012</strong>)<br />

(68) Investigation of Optimal Silicon Avalanche<br />

photodiode Pairs for 60-GHz Balanced<br />

Subharmonic Optoelectronic Mixers<br />

M. Ko, M.J. Lee, H. Rücker, W.-Y. Choi<br />

Proc. Asia-Pacific Microwave Photonics<br />

Conference (APMP <strong>2012</strong>), (<strong>2012</strong>)<br />

(69) X-Ray Diffraction Study of Plastic Relaxation<br />

in Ge-rich SiGe Virtual Substrates<br />

V. Kopp, V.M. Kaganer, G. Capellini, M. de Stea,<br />

P. Zaumseil<br />

Physical Review B 85, 245311 (<strong>2012</strong>)<br />

(70) Characterization of Deep Levels<br />

Introduced by RTA and by Subsequent<br />

anneals in n-Type Silicon<br />

D. Kot, T. Mchedlidze, G. Kissinger,<br />

W. von Ammon<br />

ECS Transactions 50(5), 269 (<strong>2012</strong>)<br />

(71) Impact of RTA on the Morphology of Oxygen<br />

precipitates and on the Getter Efficiency<br />

for Cu and Ni in Si Wafers<br />

D. Kot, G. Kissinger, M.A. Schubert, T. Müller,<br />

A. Sattler<br />

Materials Science Forum 725, 239 (<strong>2012</strong>)<br />

(72) Growth and Relaxation Processes in<br />

Ge Nanocrystals on Free-Standing Si(001)<br />

nanopillars<br />

G. Kozlowski, P. Zaumseil, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, J. Matejova, T. Schülli,<br />

B. Tillack, T. Schroeder<br />

Nanotechnology 23(11), 115704 (<strong>2012</strong>)<br />

(73) how to Change a Winning Team: the<br />

Compliant Behaviour of Ge Nanocluster<br />

arrays on Free-Standing Si Nanostructures<br />

G. Kozlowski, T. Schroeder<br />

„Spotlight on Science“ – auf Internetseite<br />

der Europäischen Synchrotron Radiation<br />

Facility (ESRF) http: / / www.esrf.eu /<br />

(74) the Epitaxial Growth of Low Defect SiGe<br />

Buffer Layers for Integration of New<br />

Materials on 300 mm Silicon Wafers<br />

G. Kozlowski, O. Fursenko, P. Zaumseil,<br />

T. Schroeder, M. Vorderwestner, P. Storck<br />

ECS Transactions 50(9), 613 (<strong>2012</strong>)<br />

(75) reliable Architecture for Heterogeneous<br />

home-Networks: The Omega I-Mac Approach<br />

R. Kraemer, M. Brzozowski, St. Nowak<br />

Facta Universitatis, Series: Electronics and<br />

Energetics 25(1), 43 (<strong>2012</strong>)<br />

(76) applying Tire Pressure Monitoring Devices<br />

for Traffic Management Purposes<br />

M. Krstic, N. Savic, R. Kraemer, M. Junghans<br />

Proc. International Symposium on Signals,<br />

Systems and Electronics (ISSSE <strong>2012</strong>), (<strong>2012</strong>)<br />

(77) evaluation of GALS Methods in Scaled CMOS<br />

technology – Moonrake Chip Experience<br />

M. Krstic, X. Fan, E. Grass, L. Benini,<br />

M.R. Kakoee, C. Heer, B. Sanders, A. Strano,<br />

D. Bertozzi<br />

International Journal of Embedded and<br />

Real-Time Communication Systems (IJERTCS)<br />

3(4), 1 (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

97


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(78) Fabrication of MEMS Actuators from the<br />

BEOL of a 0.25 µm BiCMOS Technology<br />

platform<br />

P. Kulse, M. Birkholz, K.-E. Ehwald, J. Bauer, J.<br />

Drews, U. Haak, J. Katzer, K. Schulz,<br />

D. Wolansky<br />

Microelectronic Engineering 97, 276 (<strong>2012</strong>)<br />

(79) lithographic Aspects for the Fabrication<br />

of BiCMOS Embedded Bio-MEMS and<br />

rF-MEMS<br />

P. Kulse, M. Birkholz, K.-E. Ehwald, M. Kaynak,<br />

M. Wietstruck, J. Bauer, U. Haak, J. Drews,<br />

K. Schulz<br />

Proceedings of SPIE 8352, 83520E (<strong>2012</strong>)<br />

(80) design and Analysis of Down-Conversion<br />

Gate / Base-pumped Harmonic Mixers using<br />

novel Reduced-Size 180 Hybrid with<br />

different Input Frequencies<br />

J.J. Kuo, C.-H. Lien, Z.-M. Tsai, K.-Y. Lin,<br />

K. Schmalz, R. Scholz, H. Wang<br />

IEEE Transactions on Microwave Theory and<br />

Techniques 60(8), 2473 (<strong>2012</strong>)<br />

(81) a 62 GHz Reflectometer for Biomedical<br />

Sensor Readout in SiGe BiCMOS Technology<br />

B. Lämmle, K. Schmalz, J.C. Scheytt,<br />

D. Kissinger, R. Weigel<br />

Proc. 12 th Topical Meeting on Silicon<br />

Monolithic Integrated Circuits in RF Systems<br />

(SIRF <strong>2012</strong>), 45 (<strong>2012</strong>)<br />

(82) an Integrated 125 GHz Sensor with Readout<br />

Circuit for Permittivity Measurement<br />

of Liquids<br />

B. Lämmle, K. Schmalz, J.C. Scheytt,<br />

D. Kissinger, R. Weigel<br />

Proc. International Microwave Symposium<br />

(IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

(83) topologieüberwachung von drahtlosen<br />

Sensornetzen<br />

St. Lange, O. Stecklina<br />

Proc. 11. GI / ITG KuVS Fachgespräch Drahtlose<br />

Sensornetze FGSN <strong>2012</strong>, 56 (<strong>2012</strong>)<br />

(84) distributed Shared Memory as an Approach<br />

for Integrating WSNs and Cloud Computing<br />

P. Langendörfer, K. Piotrowski, M. Diaz,<br />

B. Rubio<br />

Proc. NTMS Workshop on Wireless Sensor Networks:<br />

Architectures, Deployments and Trends<br />

(WSN-ADT), 1(<strong>2012</strong>)<br />

(85) wireless Sensor Networks for Critical<br />

Infrastructure Protection<br />

P. Langendörfer, L. Buttyan, A. Hessler,<br />

C. Casteluccia, A. Casaca, A. Alkassar,<br />

E. Osipov<br />

Critical Infrastructure Security: Assessment,<br />

Prevention, Detection, Response, WIT Press,<br />

155 (<strong>2012</strong>)<br />

(86) wireless Telemedicine Sensor Nodes:<br />

requirements and Challenges Ahead<br />

P. Langendörfer, T. Basmer, K. Piotrowski,<br />

St. Ortmann<br />

Proc. <strong>2012</strong> IEEE Radio & Wireless Symposium<br />

(RWW <strong>2012</strong>), (<strong>2012</strong>)<br />

(87) Migrating Legacy PON Equipment towards<br />

Colorless ONU through Hybrid Integrated<br />

SOI All-Optical λ-Converter<br />

I. Lazarou, C. Stamatiadis, B. Schrenk,<br />

L. Stampoulidis, L. Zimmermann, K. Voigt,<br />

G.B. Preve, L. Moerl, J. Kreissl,<br />

H. Avramopoulos<br />

Proc. OFC, (<strong>2012</strong>)<br />

98 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(88) effects of Guard-Ring Structures on the<br />

performance of Silicon Avalanche Photodetectors<br />

Fabricated with Standard CMOS<br />

technology<br />

M.J. Lee, H. Rücker, W.-Y. Choi<br />

IEEE Electron Device Letters 33(1), 80 (<strong>2012</strong>)<br />

(89) 60-GHz Voltage-Controlled Oscillator and<br />

Frequency Divider in 0.25 µm SiGe BiCMOS<br />

technology<br />

J.-M. Lee, W.-Y Choi, H. Rücker<br />

Proc. International SoC Design Conference<br />

(ISOCC <strong>2012</strong>), 65 (<strong>2012</strong>)<br />

(90) Molecular Beam Epitaxy of Graphene on Mica<br />

G. Lippert, J. Dabrowski, Y. Yamamoto,<br />

F. Herziger, J. Maultzsch, J. Baringhaus,<br />

C. Tegenkamp, M.C. Lemme, W. Mehr, G. Lupina<br />

Physica Status Solidi B 249(12), 2507 (<strong>2012</strong>)<br />

(91) low Dark Current Ge PIN Photodiode for a<br />

high-Performance, Photonic BiCMOS<br />

process for Radio-over-Fiber Applications<br />

St. Lischke, D. Knoll, L. Zimmermann,<br />

Y.Yamamoto, M. Fraschke, A. Trusch, A. Krüger,<br />

M. Kroh, B. Tillack<br />

Proc. <strong>2012</strong> IEEE Photonics Conference, (<strong>2012</strong>)<br />

(92) direct and Indirect Radiative<br />

recombination from Ge<br />

C.W. Liu, T.-H. Cheng, Y.Y. Chen, S.-R. Jan,<br />

C.-Y. Chen, S.T. Chan, Y.-H. Nien, Y. Yamamoto,<br />

B. Tillack<br />

Thin Solid Films 520(8), 3249 (<strong>2012</strong>)<br />

(93) dual-Band Millimeter-Wave VCO with<br />

embedded RF-MEMS Switch Module in<br />

BiCMOS Technology<br />

G. Liu, M. Kaynak, T. Purtova, A. C. Ulusoy,<br />

B. Tillack<br />

Proc. Silicon Monolithic Integrated Circuits<br />

on RF Systems (SiRF <strong>2012</strong>), 175 (<strong>2012</strong>)<br />

(94) electrical and Morphological Properties of<br />

ald and AVD Grown Perovskite-Type<br />

dielectrics and Their Stacks for Metal-<br />

Insulator-Metal Applications<br />

M. Lukosius, Ch. Wenger, T. Blomberg,<br />

A. Abrutis, P.K. Baumann, G. Ruhl<br />

ECS Journal of Solid State Science and<br />

Technology 1(1), N1 (<strong>2012</strong>)<br />

(95) Metal-Insulator-Metal Capacitors with ALD<br />

Grown SrTiO 3<br />

: Influence of Pt Electrodes<br />

M. Lukosius, T. Blomberg, D. Walczyk, G. Ruhl,<br />

Ch. Wenger<br />

IOP Conference Series: Materials Science and<br />

Engineering 41, 012015 (<strong>2012</strong>)<br />

(96) properties of Atomic-Vapor and Atomiclayer<br />

Deposited Sr, Ti, and Nb Doped Ta 2<br />

O 5<br />

Metal-Insulator-Metal Capacitors<br />

M. Lukosius, C. Baristiran Kaynak, S. Kubotsch,<br />

T. Blomberg, G. Ruhl, Ch. Wenger<br />

Thin Solid Films 520, 4576 (<strong>2012</strong>)<br />

(97) Complementary RF-LDMOS Transistors<br />

realized with Standard CMOS Implantations<br />

A. Mai, H. Rücker<br />

Proc. 42 nd European Solid-State Device<br />

Research Conference (ESSDERC <strong>2012</strong>), 181<br />

(<strong>2012</strong>)<br />

(98) dislocation-Related Photoluminescence<br />

Imaging of mc-Si Wafers at Room<br />

temperature<br />

D. Mankovics, R.P. Schmid, T. Arguirov,<br />

M. Kittler<br />

Crystal Research and Technology 47(11), 1148<br />

(<strong>2012</strong>)<br />

(99) luminescence of Defects and Breakdown<br />

Sites in Multicrystalline Si Solar Cells<br />

D. Mankovics, A. Klossek, Ch. Krause,<br />

T. Arguirov, W. Seifert, M. Kittler<br />

Physica Status Solidi A 209(10), 1908 (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

99


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(100) a 245 GHz CB LNA and SHM Mixer in<br />

SiGe Technology<br />

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt<br />

Proc. Silicon Monolithic Integrated Circuits<br />

on RF Systems (SiRF <strong>2012</strong>), 5 (<strong>2012</strong>)<br />

(101) 245-GHz LNA, Mixer, and Subharmonic<br />

receiver in SiGe Technology<br />

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt<br />

IEEE Transactions on Microwave Theory and<br />

Techniques 60(12), 3823 (<strong>2012</strong>)<br />

(102) 245 GHz Subharmonic Receiver in SiGe<br />

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt<br />

Proc. European Microwave Week,<br />

(EuMW <strong>2012</strong>), 183 (<strong>2012</strong>)<br />

(103) performance and Complexity Analysis of<br />

Channel Coding Schemes for Multi-Gbps<br />

wireless Communications<br />

M. Marinkovic, M. Krstic, E. Grass, M. Piz<br />

Proc. IEEE International Symposium on Personal,<br />

Indoor and Mobile Radio Communications<br />

(PIMRC <strong>2012</strong>), 1966 (<strong>2012</strong>)<br />

(104) a Low Power 6.2-8.3 GHz Frequency<br />

Synthesizer in SiGe BiCMOS for<br />

IEEE802.15.4a Standard<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

Proc. International Symposium on Signals,<br />

Systems and Electronics (ISSSE <strong>2012</strong>), (<strong>2012</strong>)<br />

(105) Implementation of the Ultra-Low Power<br />

load-Independent LC VCO<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

Proc. IEEE International Conference on<br />

Circuits & Systems (ICCAS<strong>2012</strong>), 27 (<strong>2012</strong>)<br />

(106) a Low Power Programmable Frequency<br />

divider Intended for Frequency Synthesizer<br />

designed in Accordance to IEEE 802.15.4a<br />

Standard<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

Proc. IEEE International Conference on<br />

Circuits & Systems (ICCAS<strong>2012</strong>), 21 (<strong>2012</strong>)<br />

(107) uwB Transmitter for Communication and<br />

localization for IEEE 802.15.4a Standard<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

Proc. IEEE International Conference on<br />

Circuits & Systems (ICCAS<strong>2012</strong>), 32 (<strong>2012</strong>)<br />

(108) Capability of Photoluminescence for<br />

Characterization of Multi-Crystalline Silicon<br />

T. Mchedlidze, W. Seifert, M. Kittler,<br />

A.T. Blumenau, B. Birkmann, T. Mono,<br />

M. Müller<br />

Journal of Applied Physics 111, 073504 (<strong>2012</strong>)<br />

(109) Investigation of Defect States in Heavily<br />

dislocated Thin Silicon Films<br />

T. Mchedlidze, M. Kittler<br />

Journal of Applied Physics 111(5), 053706<br />

(<strong>2012</strong>)<br />

(110) new Methodology for Process Design Kit<br />

(PDK) of BiCMOS Compatible RF MEMS<br />

A. Mehdaoui, G. Schröpfer, G. Lorenz,<br />

M. Kaynak, M. Wietstruck<br />

Proc. 13 th Symposium on RF-MEMS and<br />

RF-Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(111) Vertical Graphene Base Transistor<br />

W. Mehr, J.C. Scheytt, J. Dabrowski, G. Lippert,<br />

Y.-H. Xie, M.C. Lemme, M. Ostling, G. Lupina<br />

IEEE Electron Device Letters 33(5), 691<br />

(<strong>2012</strong>)<br />

100 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(112) Feeder Realization for Quasi-Lumped<br />

Multilayer Resonators with Low Q-factor<br />

D. Miljanovic, M. Potrebic, D.V. Tosic,<br />

Z. Stamenkovic<br />

Advances in Circuits, Systems, Automation<br />

and Mechanics, (Eds.), 63 (<strong>2012</strong>)<br />

(113) 60 GHz Ultrawideband Front-Ends with<br />

Gain Control, Phase Shifter and Wave Guide<br />

transition in LTCC Technology<br />

R. Müller, F. Wollenschläger, A. Schulz,<br />

M. Elkhouly, U. Trautwein, M.A. Hein,<br />

J. Müller, A.P. Garcia Ariza, R.S. Thomä<br />

Proc. European Conference on Antennas and<br />

Propagation, (EuCAP <strong>2012</strong>), 3255 (<strong>2012</strong>)<br />

(114) atomically Controlled CVD Processing of<br />

Group IV Semiconductors for Ultralarge-Scale<br />

Integration<br />

J. Murota, M. Sakuraba, B. Tillack<br />

Advances in Natural Sciences: Nanoscience<br />

and Nanotechnology 3(2), 023002 (<strong>2012</strong>)<br />

(115) epitaxial growth of single crystalline<br />

lattice-matched Pr 0.9<br />

Y 1.1<br />

O 3<br />

on SrOpassivated<br />

Si (001): growth orientation<br />

and crystallization tailoring by interface<br />

engineering<br />

G. Niu, P. Zaumseil, M.A. Schubert,<br />

M. H. Zoellner, J. Dabrowski, T. Schroeder<br />

Proc. IEEE International Conference on Solid-<br />

State and Integrated Circuit Technology (IEEE<br />

ICSICT <strong>2012</strong>), (<strong>2012</strong>)<br />

(116) electrical Characterization of {311}<br />

defects and Related Junction Leakage<br />

Currents in n-Type Si after Ion Implantation<br />

C. Nyamhere, F. Olivie, F. Christiano, Z. Essa,<br />

D. Bolze, Y. Yamamoto<br />

AIP Conf. Proc. 1496, 171 (<strong>2012</strong>)<br />

(117) Subharmonic 220- and 320-GHz SiGe HBT<br />

receiver Front-Ends<br />

E. Öjefors, B. Heinemann, U.R. Pfeiffer<br />

IEEE Transactions on Microwave Theory and<br />

Techniques 60(5), 1397 (<strong>2012</strong>)<br />

(118) Board Implementation and its Performance<br />

for IR-UWB IEEE.802.15.4a from Multiple<br />

aSIC Chips<br />

S. Olonbayar, D. Kreiser, D. Martynenko,<br />

G. Fischer, O. Klymenko, R. Kraemer<br />

Proc. 18 th European Wireless Conference<br />

(EW <strong>2012</strong>), VDE Verl. (<strong>2012</strong>)<br />

(119) a Telemedicine System for Improved<br />

rehabilitation of Stroke Patients<br />

St. Ortmann, P. Langendörfer<br />

Proc. International Conference on Health<br />

Informatics, 270 (<strong>2012</strong>)<br />

(120) StrokeBack - Telemedicine System<br />

empowering Stroke Patients to Fight Back<br />

St. Ortmann<br />

ICT for Health Website – European Commission<br />

http: / / ec.europa.eu / information<br />

society / activities / health / research / fp7<br />

projects / index en.htm<br />

(121) telemedical Assistance for Ambulant<br />

rehabilitation of Stroke Patient<br />

St. Ortmann, P. Langendörfer, C.S. Lányi<br />

Proc. 9 th World Congress on Brain Injury, abstr.<br />

book, 336 (<strong>2012</strong>)<br />

(122) a Fully Digital Polar Modulator for Switch<br />

Mode RF Power Amplifier<br />

P. Ostrovskyy, J.C. Scheytt, S.J. Lee, B.H. Park,<br />

J. H. Jung<br />

Proc. International Symposium on Circuits<br />

and Systems (ISCAS <strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

101


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(123) a 5-Gb / s 2.1-2.2 GHz Bandpass ΔΣ Modulator<br />

for Switch-Mode Power Amplifier<br />

P. Ostrovskyy, H. Gustat, M. Ortmanns,<br />

J.C. Scheytt<br />

IEEE Transactions on Microwave Theory and<br />

Techniques 60(8) (<strong>2012</strong>)<br />

(124) performance Estimation of Fully Digital<br />

polar Modulation Driving a 2 GHz Switch-<br />

Mode Power Amplifier<br />

P. Ostrovskyy, J.C. Scheytt, A. Sadeghfam,<br />

H. Heuermann<br />

Proc. European Microwave Week,<br />

(EuMW <strong>2012</strong>), 1039 (<strong>2012</strong>)<br />

(125) design of a Sensor Node Crypto Processor<br />

for IEEE 802.15.4 Applications<br />

G. Panic, T. Basmer, H. Schomann, St. Peter,<br />

F. Vater, K. Tittelbach-Helmrich<br />

Proc. 25 th IEEE International System-on-Chip<br />

Conference (SOCC <strong>2012</strong>), 213 (<strong>2012</strong>)<br />

(126) Customized Hardware Platform for Wireless<br />

Sensor Networks in Agricultural Applications<br />

U. Pesovic, D. Projovic, S. Randjic,<br />

Z. Stamenkovic<br />

Proc. 5 th WSEAS International Conference on<br />

Sensors and Signals, (<strong>2012</strong>)<br />

(127) Implementation of IEEE 802.15.4<br />

transceiver on Software Defined Radio<br />

platform<br />

U. Pesovic, D. Gliech, P. Planinsic,<br />

Z. Stamenkovic, S. Randic<br />

Proc. 20 th Telecommunications Forum<br />

(TELFOR <strong>2012</strong>), 376 (<strong>2012</strong>)<br />

(128) tool-Supported Methodology for<br />

Component-Based Design of Wireless<br />

Sensor Network Applications<br />

St. Peter, P. Langendörfer<br />

Proc. of the 4 th International Workshop on<br />

Component-Based Design of Resource-Constrained<br />

Systems, (CORCS <strong>2012</strong>), 526 (<strong>2012</strong>)<br />

(129) latency Impacts of Different Parallelism<br />

levels in Data-Flow Architectures<br />

M. Petri<br />

Proc. 15 th International Symposium on<br />

Wireless Personal Multimedia Communications,<br />

497 (<strong>2012</strong>)<br />

(130) design Methodology for Fault Tolerant<br />

ASICs<br />

V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic<br />

Proc. of the 15 th IEEE Symposium on Design<br />

and Diagnostics of Electronic Circuits and<br />

Systems Symposium (DDECS <strong>2012</strong>), 8 (<strong>2012</strong>)<br />

(131) Implementation of Middleware Switch<br />

aSIC Processor<br />

V. Petrovic, M. Ilic, G. Schoof, S. Montenegro<br />

The TELFOR Journal 4(2), 83 (<strong>2012</strong>)<br />

(132) SEU and SET Fault Injection Models for<br />

Fault Tolerant Circuits<br />

V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic<br />

Proc. of the 13 th Biennial Baltic Electronics<br />

Conference (BEC<strong>2012</strong>), (<strong>2012</strong>)<br />

(133) Single Event Latchup Power Switch Cell<br />

Characterisation<br />

V. Petrovic, M. Ilic, G. Schoof<br />

Proc. 4 th Small Systems Simulations<br />

Symposium (YU SSSS <strong>2012</strong>), (<strong>2012</strong>)<br />

(134) Morphology and Nanostructure of<br />

CeO 2<br />

(111) Surfaces of Single Crystals<br />

and of Si(111) Supported Ceria Films<br />

H.H. Pieper, C. Derks, M.H. Zoellner,<br />

R. Olbrich, L. Tröger, T. Schroeder,<br />

M. Neumann, M. Reichling<br />

Physical Chemistry Chemical Physics 14,<br />

15361 (<strong>2012</strong>)<br />

102 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(135) long-Range Wireless Sensor Nodes-Lessons<br />

learned<br />

K. Piotrowski, A. Sojka, P. Langendörfer<br />

Proc. 6 th European Conference on Antennas<br />

and Propagation, (EuCAP <strong>2012</strong>), 57(<strong>2012</strong>)<br />

(136) Multi-Radio Wireless Sensor Node for<br />

Mobile Biomedical Monitoring<br />

K. Piotrowski, St. Ortmann, P. Langendörfer<br />

Proc. BMT <strong>2012</strong>, 46 th DGBMT Annual<br />

Conference, 57(Suppl.1), 725 (<strong>2012</strong>)<br />

(137) a Novel Tunable Integrated Filter for<br />

dispersion Compensation Realized in<br />

Silicon (SOI)<br />

A. Rahim, S. Schwarz, L. Zimmermann,<br />

J. Bruns, K. Voigt, D.I. Kroushkov,<br />

C.G. Schäffer, K. Petermann<br />

Proc. of the Sino-German Joint Symposium<br />

on Opto- and Microelectronic Devices and<br />

Circuits (SODC <strong>2012</strong>), M13 (<strong>2012</strong>)<br />

(138) Characterization of Dislocation-Based<br />

nanotransistors<br />

M. Reiche, M. Kittler<br />

SPIE Proceedings; 8549 (<strong>2012</strong>)<br />

(139) Group IV Materials and Properties<br />

M. Reiche, M. Kittler<br />

Handbook of Silicon Photonics / Eds.<br />

L. Pavesi, L. Vivien, Taylor and Francis, 25<br />

(<strong>2012</strong>)<br />

(140) Characterization of Germanium-Sulfide<br />

thin Films Grown by Hot Wire Chemical<br />

Vapor Deposition<br />

D. Reso, M. Silinskas, N. Frenzel, M. Lisker,<br />

E.P. Burte<br />

Frontiers in Electronic Materials: Correlation<br />

Effects, Spintronics, and Memristive Phenomena<br />

– Fundamentals and Applications /<br />

eds.: J. Heber, D. Schlomm u.a., Weinheim:<br />

Wiley-VCH, 214 (<strong>2012</strong>)<br />

(141) Growth of Germanium Sulfide by Hot Wire<br />

Chemical Vapor Deposition for Nonvolatile<br />

Memory Applications<br />

D. Reso, M. Silinskas, M. Lisker, E.P. Burte<br />

Journal of Non-Crystalline Solids 358, 1511<br />

(<strong>2012</strong>)<br />

(142) rF-MEMS Switching Circuits Fabricated<br />

in a SiGe Process Technology<br />

S. Reyaz, C. Samuelsson, R. Malmqvist,<br />

M. Kaynak, A. Alvandpour, A. Rydberg<br />

Proc. GigaHertz <strong>2012</strong> Symposium, (<strong>2012</strong>)<br />

(143) a V-Band RF-MEMS SPDT Switch Network<br />

in a SiGe BiCMOS Process Technology<br />

S. Reyaz, C. Samuelsson, R. Malmquist,<br />

M. Kaynak, A. Rydberg<br />

Proc. 13 th Symposium on RF-MEMS and RF-<br />

Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(144) Millimeter-Wave RF-MEMS SPDT Switch<br />

networks in a SiGe BiCMOS Process<br />

technology<br />

S. Reyaz, C. Samuelsson, R. Malmquist,<br />

M. Kaynak, A. Rydberg<br />

Proc. European Microwave Conference<br />

(EuMW <strong>2012</strong>), 1071 (<strong>2012</strong>)<br />

(145) half-Terahertz SiGe BiCMOS Technology<br />

H. Rücker, B. Heinemann, A. Fox<br />

Proc. Silicon Monolithic Integrated Circuits<br />

on RF Systems (SiRF <strong>2012</strong>), 133 (<strong>2012</strong>)<br />

(146) SiGe BiCMOS Technologies for Applications<br />

above 100 GHz<br />

H. Rücker, B. Heinemann, A. Fox<br />

Proc. <strong>2012</strong> IEEE Compound Semiconductor<br />

Integrated Circuit Symposium (CSICS <strong>2012</strong>),<br />

(<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

103


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(147) SiGe BiCMOS Technology for mm-Wave<br />

Systems<br />

H. Rücker, B. Heinemann<br />

Proc. International SoC Design Conference<br />

(ISOCC <strong>2012</strong>), 266 (<strong>2012</strong>)<br />

(148) Complex Product Development:<br />

using a Combined VoC Lead User Approach<br />

A. Sänn, D. Baier<br />

Studies in Classification, Data Analysis and<br />

Knowledge Organization, 45 (<strong>2012</strong>)<br />

(149) lead Users and Non-Lead-Users:<br />

Breakthrough Preferences Measured<br />

by Online Analysis<br />

A. Sänn<br />

Proc. of the R&D Management Conference<br />

<strong>2012</strong>, abstr. book, 44 (<strong>2012</strong>)<br />

(150) lead Users and Non-Lead-Users: Preferences<br />

Measured by Conjoint Analysis<br />

A. Sänn, D. Baier<br />

Proc. of the 34 th Marketing Science Conference<br />

(ISMS <strong>2012</strong>), abstr. book, 17 (<strong>2012</strong>)<br />

(151) Gigahertz Monolithic Delay Lines for<br />

Surface Acoustic Waves on Silicon<br />

P.V. Santos, S. Rauwerdink, K. Biermann,<br />

B. Drescher, W. Seidel, Ch. Wenger, U. Kaletta,<br />

M. Fraschke, D. Wolansky, M. Kaynak<br />

IOP Conference Series: Materials Science and<br />

Engineering 41, 012009 (<strong>2012</strong>)<br />

(152) SiGe BiCMOS Transceivers, Antennas, and<br />

ultra-Low-Cost Packaging for the ISM<br />

Bands at 122 and 245 GHz<br />

J.C. Scheytt, Y. Sun, K. Schmalz, R. Wang<br />

Proc. International Microwave Symposium<br />

(IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

(153) a 245 GHz LNA in SiGe Technology<br />

K. Schmalz, J. Borngräber, Y. Mao, H. Rücker,<br />

R. Weber<br />

IEEE Microwave and Wireless Components<br />

Letters 22, (10), 533 (<strong>2012</strong>)<br />

(154) a 245 GHz Transmitter in SiGe Technology<br />

K. Schmalz, J. Borngräber, B. Heinemann,<br />

H. Rücker, J.C. Scheytt<br />

Proc. IEEE Radio Frequency Integrated<br />

Circuits Symposium (RFIC <strong>2012</strong>), 195 (<strong>2012</strong>)<br />

(155) Systemarchitektur für Raumfahrtanwendungen<br />

G. Schoof, V. Petrovic, S. Montenegro<br />

Proc. 24. GI / GMM / ITG-Workshop Testmethoden<br />

und Zuverlässigkeit von Schaltungen und<br />

Systemen, 29 (<strong>2012</strong>)<br />

(156) embedded Low Power Clock Generator for<br />

Sensor Nodes<br />

O. Schrape, F. Vater<br />

Proc. Norchip <strong>2012</strong> (<strong>2012</strong>)<br />

(157) Smarter ICs<br />

H. Schumacher, M. Kaynak, V. Valenta,<br />

B. Tillack<br />

IEEE Microwave Magazine Nov. / Dec., S33<br />

(<strong>2012</strong>)<br />

(158) a Distributed Intrusion Detection System<br />

for Industrial Automation Networks<br />

F. Schuster, A. Paul<br />

Proc. 17 th International Conference on<br />

Emerging Technologies & Factory Automation<br />

(EFTA <strong>2012</strong>), (<strong>2012</strong>)<br />

(159) low-Power BiCMOS Track-and-Hold Circuit<br />

with Reduced Signal Feedthrough<br />

B. Sedighi, Y. Borokhovych, H. Gustat,<br />

J.C. Scheytt<br />

Proc. International Microwave Symposium,<br />

(IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

104 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(160) low-Power SiGe BiCMOS Transimpedance<br />

amplifier for 25-GBaudOptical Links<br />

B. Sedighi, J.C. Scheytt<br />

IEEE Transactions on Circuits and Systems II<br />

59(8), 461 (<strong>2012</strong>)<br />

(161) low-Power 20-Gb / s SiGe BiCMOS Driver<br />

with 2.5 V Output Swing<br />

B. Sedighi, P.Ostrovskyy, J.C. Scheytt<br />

Proc. International Microwave Symposium<br />

(IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

(162) low-Power 8-Bit 5-GS / s D / A Converter for<br />

Multi-Gigabit Wireless Transceivers<br />

B. Sedighi, M Khafaji, J.C. Scheytt<br />

International Journal of Microwave and<br />

Wireless Technologies, 1 (<strong>2012</strong>)<br />

(163) 40 Gb / s VCSEL Driver IC with a New Output<br />

Current and Pre-Emphasis Adjustment<br />

Method<br />

B. Sedighi, J.C. Scheytt<br />

Proc. International Microwave Symposium<br />

<strong>2012</strong> (IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

(164) a 77 GHz On-Chip Dipole Antenna with<br />

etched Silicon Substrate<br />

M. Seyyed-Esfahlan, M. Kaynak, I. Tekin<br />

Proc. 13th Symposium on RF-MEMS and<br />

RF-Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(165) platform for Automated HW / SW<br />

Co-Verification, Testing and Simulation<br />

of Microprocessors<br />

A. Simevski, R. Kraemer, M. Krstic<br />

Proc. 13 th Latin American Test Workshop<br />

(LATW <strong>2012</strong>), 1 (<strong>2012</strong>)<br />

(166) Scalable Design of a Programmable NMR<br />

Voter with Inputs’ State Descriptor and<br />

Self-Checking Capability<br />

A. Simevski, E. Hadzieva, R. Kraemer, M. Krstic<br />

Proc. NASA / ESA Conference on Adaptive<br />

Hardware and Systems (AHS <strong>2012</strong>), 182 (<strong>2012</strong>)<br />

(167) GaP Collector Development for SiGe HBT<br />

performance Increase: A Heterostructure<br />

Growth Study<br />

O. Skibitzki, F. Hatami, Y. Yamamoto,<br />

P. Zaumseil, A. Trampert, M.A. Schubert,<br />

B. Tillack, W. T. Masselink, T. Schroeder<br />

Journal of Applied Physics 111, 073515<br />

(<strong>2012</strong>)<br />

(168) Solid-Phase Epitaxy of Undoped<br />

amorphous Silicon by in-situ Postannealing<br />

O. Skibitzki, Y. Yamamoto, M.A. Schubert,<br />

B. Tillack<br />

Thin Solid Films 520, 3271 (<strong>2012</strong>)<br />

(169) Single Error Plus Single Erasure Correction<br />

with Redundancy Repair Scheme for<br />

Memory Reliability Improvement<br />

P. Skoncej<br />

Proc. 24. GI / GMM / ITG Workshop Testmethoden<br />

und Zuverlässigkeit von Schaltungen und<br />

Systemen, 87 (<strong>2012</strong>)<br />

(170) wBR - Word and Block-Level Hard Error<br />

repair for Memories<br />

P. Skoncej<br />

Proc. Non-Volatile Memory Technology<br />

Symposium (NVMTS <strong>2012</strong>), (<strong>2012</strong>)<br />

(171) ImRNG: A Lightweight Pseudorandom<br />

number Generator for Wireless Sensor<br />

networks<br />

A. Sojka, K. Piotrowski<br />

Proc. International Conference on Security<br />

and Cryptography (Secrypt <strong>2012</strong>), (<strong>2012</strong>)<br />

(172) Concept of Vertical Bipolar Transistor with<br />

lateral Drift Region, Applied to High<br />

Voltage SiGe HBT<br />

R. Sorge, A. Fischer, R. Pliquett, C. Wipf,<br />

R. Barth<br />

Proc. Silicon Monolithic Integrated Circuits<br />

on RF Systems (SiRF <strong>2012</strong>), 223 (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

105


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(173) hard X-Ray Photoelectron Spectroscopy<br />

Study of the Electroforming in Ti / HfO 2<br />

-<br />

based Resistive Switching Structures<br />

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,<br />

M.A. Schubert, M. Lukosius, W. Drube,<br />

Ch. Walczyk, T. Schroeder<br />

Applied Physics Letters 100, 233509 (<strong>2012</strong>)<br />

(174) In-Operando HAXPES Analysis of the<br />

resistive Switching Phenomenon in<br />

ti / HfO 2<br />

-Based Systems<br />

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,<br />

Ch. Walczyk, T. Schroeder<br />

Technical Digest of Frontiers in Electronic<br />

Materials: A Collection of ext. abstr. of the<br />

Nature Conference, <strong>2012</strong>, 235 (<strong>2012</strong>)<br />

(175) a Hybrid Photonic Integrated Wavelength<br />

Converter on a Silicon-on-Insulator Substrate<br />

C. Stamatiadis, L. Stampoulidis, K. Vyrsokinos,<br />

I. Lazarou, D. Kalavrouziotis, L. Zimmermann,<br />

K. Voigts, G.B. Preves, L. Moerl, J. Kreissl,<br />

H. Avramopoulos<br />

Proc. OFC <strong>2012</strong>, (<strong>2012</strong>)<br />

(176) photonic Provisioning Using a Packaged<br />

SOI Hybrid All-Optical Wavelength Converter<br />

in a Meshed Optical Network Testbed<br />

Ch. Stamatiadis, D. Kalavrouziotis, A. Pagano,<br />

R. Morro, E. Riccardi, L. Stamoulidis, K. Voigt,<br />

G.B. Preve, L. Moerl, J. Kreissl, K. Landles,<br />

St. Duffy, H. Avramopoulos, L. Zimmermann,<br />

K. Petermann<br />

Journal of Lightwave Technology 30(18),<br />

2941 (<strong>2012</strong>)<br />

(177) MIMO Transmission in IEEE 802.11a WLAN<br />

Z. Stamenkovic<br />

Proc. 5 th WSEAS International Conference on<br />

Sensors and Signals, 20 (<strong>2012</strong>)<br />

(178) rear View Camera System for Car Driving<br />

assistance<br />

Z. Stamenkovic, K. Tittelbach-Helmrich,<br />

J. Domke, C. Lörchner-Gerdaus, J. Anders,<br />

V. Sark, M. Eric, N. Sira<br />

Proc. 28 th International Conference on<br />

<strong>Microelectronics</strong> (MIEL <strong>2012</strong>), 383 (<strong>2012</strong>)<br />

(179) tandemStack - a Flexible and Customizable<br />

Sensor Node Platform for Low Power<br />

applications<br />

O. Stecklina, D. Genschow, Ch. Goltz<br />

Proc. Sensornets <strong>2012</strong>, 65 (<strong>2012</strong>)<br />

(180) a Compact Linear 60-GHz PA with 29.2%<br />

pae Operating at Weak Avalanche Area<br />

in SiGe<br />

Y. Sun, G.G. Fischer, J.C. Scheytt<br />

IEEE Transactions on Microwave Theory and<br />

Techniques 60, 2581 (<strong>2012</strong>)<br />

(181) a Low-Phase-Noise 61 GHz Push-Push VCO<br />

with Divider Chain and Buffer in SiGe<br />

BiCMOS for 122 GHz ISM Applications<br />

Y. Sun, J.C. Scheytt<br />

Proc. IEEE Radio Frequency Integrated<br />

Circuits Symposium (RFIC <strong>2012</strong>), 79 (<strong>2012</strong>)<br />

(182) Field Emission Tip Array Fabrication<br />

utilizing Geometrical Hindrance in the<br />

oxidation of Si<br />

K. Sun, W. Zhang, B. Li, J. Young Lee, Y-H. Xie,<br />

T. Schroeder, J. Katzer, X. Wei, T.P. Russell<br />

IEEE Transactions on Nanotechnology 11(5),<br />

999 (<strong>2012</strong>)<br />

(183) a 12-Bit 20-GHz Reduced Size Pipeline<br />

accumulator in 0.25 µm SiGe:C Technology<br />

for DDS Applications<br />

B. Sveistrup Jensen, M. Khafaji, T. Keinicke<br />

Johansen, V. Krozer, J.C. Scheytt<br />

IET Circuits, Devices & Systems 6(1), 19<br />

(<strong>2012</strong>)<br />

106 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(184) Structural and Optical Quality of GaN<br />

Grown on Sc 2<br />

O 3<br />

/ Y 2<br />

O 3<br />

/ Si(111)<br />

L. Tarnawska, P. Zaumseil, M.A. Schubert,<br />

S. Okur, U. Ozgur, H. Morkoc, R. Paszkiewicz,<br />

P. Storck, T. Schroeder<br />

Journal of Applied Physics 111, 073509<br />

(<strong>2012</strong>)<br />

(185) a 77 GHz on-Chip Strip Dipole Antenna<br />

Integrated with Balun Circuits for<br />

automotive Radar<br />

I. Tekin, M. Kaynak<br />

Proc. IEEE International Symposium on<br />

Antennas and Propagation and USNC-URSI<br />

National Radio Science Meeting, (<strong>2012</strong>)<br />

(186) Fabrication of Low-Loss SOI Nanowaveguides<br />

Including BEOL Processes<br />

for Nonlinear Applications<br />

H. Tian, G. Winzer, A. Gajda, K. Petermann,<br />

B. Tillack, L. Zimmermann<br />

Journal of the European Optical Society 7,<br />

12032 (<strong>2012</strong>)<br />

(187) SiGe BiCMOS – A More than Moore Baseline<br />

technology for Functional Diversification<br />

of Opto- and Microelectronic Devices and<br />

Circuits<br />

B. Tillack, B. Heinemann, M. Kaynak,<br />

H. Rücker, L. Zimmermann, Ch. Wenger<br />

Proc. of the Sino-German Joint Symposium<br />

on Opto- and Microelectronic Devices and<br />

Circuits (SODC <strong>2012</strong>), M02 (<strong>2012</strong>)<br />

(188) SiGe BiCMOS Platform-Baseline Technology<br />

for More than Moore Functional<br />

diversification<br />

B. Tillack<br />

Proc. 24. GI / GMM / ITG-Workshop Testmethoden<br />

und Zuverlässigkeit von<br />

Schaltungen und Systemen, 9 (<strong>2012</strong>)<br />

(189) an Accurate and Versatile Equivalent<br />

Circuit Model for RF-MEMS Circuit<br />

optimization in BiCMOS Technology<br />

N. Torres Matabosch, F. Coccetti, M. Kaynak,<br />

W. Zhang, B. Tillack, R. Plana, J.L. Casaux<br />

Proc. European Microwave Conference<br />

(EuMW <strong>2012</strong>), 143 (<strong>2012</strong>)<br />

(190) an Accurate Equivalent Circuit Model for<br />

rF-MEMS Circuit Optimization and<br />

Fabrication Process Monitoring in BiCMOS<br />

technology<br />

N. Torres Matabosch, F. Coccetti, M. Kaynak,<br />

W. Zhang, B. Tillack, J.L.Cazaux<br />

Proc. 13 th Symposium on RF-MEMS and RF-<br />

Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(191) reconfigurable Millimeter-Wave ICs in an<br />

rF-MEMS Embedded BiCMOS Technology<br />

A.C. Ulusoy, M. Kaynak, G. Liu, B. Tillack,<br />

H. Schumacher<br />

Proc. GigaHertz <strong>2012</strong> Symposium, (<strong>2012</strong>)<br />

(192) reconfigurable Millimeter-Wave ICs in an<br />

rF-MEMS Embedded BiCMOS Technology<br />

A.C. Ulusoy, M. Kaynak, G. Liu, T. Purtova,<br />

B. Tillack, H. Schumacher<br />

Proc. 13 th Symposium on RF-MEMS and RF-<br />

Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(193) From Enabling Technology to Applications:<br />

reconfigurable SiGe BiCMOS ICs with Fully<br />

Integrated RF MEMS Switches for Millimetre<br />

wave Transceivers<br />

V. Valenta, M. Kaynak, G. Liu, A.C. Ulusoy,<br />

T. Purtova, A. Trasser, H. Schumacher<br />

Proc. ESA Microwave Technologies &<br />

Techniques Workshop, (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

107


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(194) an Integration Approach for Graphene<br />

double-Gate Transistors<br />

S. Vaziri, A.D. Smith, C. Henkel, M. Östling,<br />

M.C. Lemme, G. Lupina, G. Lippert,<br />

J. Dabrowski, W. Mehr<br />

Proc. 42 nd European Solid-State Device<br />

Research Conference (ESSDERC <strong>2012</strong>), 250<br />

(<strong>2012</strong>)<br />

(195) a Satellite Internal Communication<br />

Controller: Design and Implementation<br />

M. Veleski, V. Petrovic, Z. Stamenkovic<br />

Advances in Circuits, Systems, Automation<br />

and Mechanics, 87 (<strong>2012</strong>)<br />

(196) resistive Switching Behavior in<br />

tiN / HfO 2<br />

/ Ti / TIN Devices<br />

D. Walczyk, T. Bertaud, M. Sowinska,<br />

M. Lukosius, M.A. Schubert, A. Fox,<br />

D. Wolansky, A. Scheit, M. Fraschke, G. Schoof,<br />

Ch. Wolf, R. Kraemer, B. Tillack, R. Korolevych,<br />

V. Stikanov, Ch. Wenger, T. Schroeder,<br />

Ch. Walczyk<br />

Proc. <strong>2012</strong> International Semiconductor<br />

Conference Dresden-Grenoble (ISCDG <strong>2012</strong>),<br />

(<strong>2012</strong>)<br />

(197) a Micromachined Double-Dipole Antenna<br />

for 122-140 GHz Applications Based on a<br />

SiGe BiCMOS Technology<br />

R. Wang, Y. Sun, M. Kaynak, St. Beer,<br />

J. Borngräber, J.C. Scheytt<br />

Proc. International Microwave Symposium<br />

<strong>2012</strong>, (<strong>2012</strong>)<br />

(198) Giant Optical Response from Grapheneplasmonic<br />

System<br />

P. Wang, W. Zhang, O. Liang, M. Pantoja,<br />

J. Katzer, T. Schroeder, Y.-H. Xie<br />

ACS Nano 6(7), 6244 (<strong>2012</strong>)<br />

(199) the Effect of Composition on the Bandgap<br />

width in Insulating Nb x<br />

Ta y<br />

O z<br />

Nanolayers<br />

W.C. Wang, H.Y. Chou, M. Badylevich,<br />

T. Blomberg, Ch. Wenger, J.A. Kittl,<br />

V.V. Afanas‘ev<br />

IOP Conference Series: Material Science and<br />

Engineering 41, 012004 (<strong>2012</strong>)<br />

(200) Microwave Biosensor for Characterization<br />

of Compartments in Teflon Capillaries<br />

J. Wessel, J.C. Scheytt, K. Schmalz, B. Cahill,<br />

G. Gastrock<br />

Proc. European Microwave Week,<br />

(EuMW <strong>2012</strong>), 534 (<strong>2012</strong>)<br />

(201) Material Properties Characterization of<br />

BiCMOS BEOL Metal Stacks for RF-MEMS<br />

applications<br />

M. Wietstruck, M. Kaynak, W. Zhang, S. Kurth,<br />

B. Erler, B. Tillack<br />

Novel RF MEMS Technologies, (Series in Micro<br />

and Nanoengineering; 20) / Ed:<br />

G. Papaioanou u.a., Bucuresti: Editura,<br />

Academiei Romane, 161 (<strong>2012</strong>)<br />

(202) the Influence of High Temperature Stress<br />

on a BiCMOS Embedded RF-MEMS Switch<br />

M. Wietstruck, M. Kaynak, W. Zhang,<br />

K. Kaletta, B. Tillack<br />

Proc. 13 th Symposium on RF-MEMS and RF-<br />

Microsystems (MEMSWAVE <strong>2012</strong>), (<strong>2012</strong>)<br />

(203) a New Method to Analyze the Behavior of<br />

SiGe:C HBTs under RF Large Signal Stress<br />

Ch. Wipf<br />

Proc. Silicon Monolithic Integrated Circuits<br />

on RF Systems (SiRF <strong>2012</strong>), 97 (<strong>2012</strong>)<br />

(204) detection and Reduction of Via Faults<br />

D. Wolansky, H. Rücker, J. Bauer, U. Haak,<br />

W. Höppner, J. Katzer, P. Kulse, A. Mai,<br />

A. Scheit, K. Schulz<br />

Proc. International Semiconductor Conference<br />

Dresden Grenoble, (ISCDG <strong>2012</strong>), (<strong>2012</strong>)<br />

108 A n n u a l R e p o r t 2 0 1 2


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(205) 15 Gbps Communication over an USB3.0<br />

Cable and Even More<br />

A. Wolf, J.C. Scheytt<br />

Proc. IEEE International Conference on<br />

Communication and Signal Processing<br />

(SSD -CSP <strong>2012</strong>), (<strong>2012</strong>)<br />

(206) atomic Layer Deposition of HfO 2<br />

Thin Films<br />

employing a Heteroleptic Hafnium Precursor<br />

B.K. Xu, A.P. Milanov, H. Parala, Ch. Wenger,<br />

C. Baristiran Kaynak, K. Lakribssi, T. Toader,<br />

C. Bock, D. Rogalla, H.-W. Becker, U. Kunze,<br />

A. Devi<br />

Chemical Vapor Deposition 18, 1 (<strong>2012</strong>)<br />

(207) low Threading Dislocation Density Ge<br />

Growth and Heavy Phosphorus Doping in Ge<br />

Y. Yamamoto, P. Zaumseil, G. Kozlowski,<br />

R. Kurps, B. Tillack<br />

Proc. University of Vigo and JSPS Core-to-<br />

Core Program Joint Seminar: Atomically<br />

Controlled Processing for Ultralarge Scale<br />

Integration (<strong>2012</strong>)<br />

(208) low Threading Dislocation Ge on Si by<br />

Combining Deposition and Etching<br />

Y. Yamamoto, G. Kozlowski, P. Zaumseil,<br />

B. Tillack<br />

Thin Solid Films 520, 3216 (<strong>2012</strong>)<br />

(209) phosphorus Atomic Layer Doping in Ge<br />

using RPCVD<br />

Y. Yamamoto, R. Kurps, Ch. Mai, I. Costina,<br />

J. Murota, B. Tillack<br />

Proc. 6 th International SiGe Technology and<br />

Device Meeting (ISTDM <strong>2012</strong>), abstr. book,<br />

14 (<strong>2012</strong>)<br />

(210) phosphorus Profile Control in Ge by Si<br />

delta Layers<br />

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,<br />

B. Tillack<br />

ECS Transactions 50(9), 255 (<strong>2012</strong>)<br />

(211) phosphorus Profile Control in Ge by Si<br />

delta Layers<br />

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,<br />

B. Tillack<br />

Proc. SiGe, Ge, and Related Compounds 5:<br />

Materials, Processing and Devices,<br />

ECS Meeting, abstr. 3126 (<strong>2012</strong>)<br />

(212) a 12.5-Gb / s SiGe BiCMOS Optical Receiver<br />

with a Monolithically Integrated 850-nm<br />

avalanche Photodetector<br />

J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker,<br />

W.-Y. Choi<br />

Proc. OFC NFOEC <strong>2012</strong>, (<strong>2012</strong>)<br />

(213) an Integrated 12.5-Gb / s Optoelectronic<br />

receiver with a Silicon Avalanche<br />

photodetector in Standard SiGe BiCMOS<br />

technology<br />

J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker,<br />

W.-Y. Choi<br />

Optics Express 20(27), 28153 (<strong>2012</strong>)<br />

(214) Bit-Error Rate Analysis of Integrated<br />

optoelectronic Receiver<br />

J.-S. Youn, M.-J. Lee, K.-Y. Park, W.-Y. Choi,<br />

H. Rücker<br />

Proc. Photonic Global Conference, Program<br />

and abstr. (<strong>2012</strong>)<br />

(215) Compliant Si Nanostructures on SOI for<br />

Ge Nanoheteroepitaxy - A Case Study for<br />

lattice Mismatched Semiconductor<br />

Integration on Si(001)<br />

P. Zaumseil, G. Kozlowski, Y. Yamamoto,<br />

J. Bauer, M.A. Schubert, T.U. Schülli,<br />

B. Tillack, T. Schroeder<br />

Journal of Applied Physics 112, 043506<br />

(<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

109


E R S C H I E N E N E P u b l i k a t i o n e n – P u b l i s h e d P A P E R S<br />

(216) preparation and Characterization of Ge<br />

epitaxially Grown on Nano-Structured<br />

periodic Si Pillars and Bars on Si(001)<br />

Substrate<br />

P. Zaumseil, Y. Yamamoto, A. Bauer,<br />

M.A. Schubert, J. Matejova, G. Kozlowski,<br />

T. Schroeder, B. Tillack<br />

Thin Solid Films 520, 3240 (<strong>2012</strong>)<br />

(217) the Role of SiGe Buffer in Growth and<br />

relaxation of Ge on Free-Standing Si(001)<br />

nano-Pillars<br />

P. Zaumseil, G. Kozlowski, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, T.U. Schülli, B. Tillack,<br />

T. Schroeder<br />

Nanotechnology 23, 355706 (<strong>2012</strong>)<br />

(218) entwurf einer neuen Testprozessorlösung<br />

für den Funktionaltest asynchroner<br />

Schaltungen<br />

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer<br />

Proc. 24. GI / GMM / ITG-Workshop: Testmethoden<br />

und Zuverlässigkeit von Schaltungen<br />

und Systemen, 11 (<strong>2012</strong>)<br />

(219) Functional Pattern Generation for<br />

asynchronous Designs in a Test Processor<br />

environment<br />

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer<br />

Proc. <strong>2012</strong> IEEE 21 st Asian Test Symposium,<br />

296 (<strong>2012</strong>)<br />

(220) eM and Lumped-Element Model of BiCMOS<br />

embedded Capacitive RF-MEMS Switch<br />

W. Zhang, M. Kaynak, M. Wietstruck, V. Mühlhaus,<br />

B. Tillack<br />

Proc. 7 th German Microwave Conference<br />

(GeMIC <strong>2012</strong>), (<strong>2012</strong>)<br />

(221) aircraft Cabin-integrated 57-64GHz WLAN<br />

Communication System<br />

V. Ziegler, B. Schulte, J. Sabater, S. Bovelli,<br />

J. Kunisch, K. Maulwurf, M. Martinez-Vazquez,<br />

C. Oikonomopoulos-Zachos, S. Glisic, M. Ehrig,<br />

E. Grass<br />

Proc. IEEE International Microwave<br />

Symposium (IMS <strong>2012</strong>), (<strong>2012</strong>)<br />

(222) Broadband 57–64-GHz WLAN Communication<br />

System Integrated Into an Aircraft<br />

Cabin<br />

V. Ziegler, B. Schulte, J. Sabater, S. Bovelli,<br />

J. Kunisch, K. Maulwurf, M. Martinez-Vazquez,<br />

C. Oikonomopoulos-Zachos, S. Glisic, M. Ehrig,<br />

E. Grass<br />

IEEE Transactions on Microwave Theory and<br />

Techniques 60(12), 4209 (<strong>2012</strong>)<br />

(223) hybrid Integration of Coherent Receivers<br />

for Terabit Ethernet on SOI Waveguide PLC<br />

L. Zimmermann, M. Kroh, K. Voigt, G. Winzer,<br />

H. Tian, L. Stampoulidis, B. Tillack,<br />

K. Petermann<br />

Proc. of the 9 th International Conference on<br />

Group IV (GFP), 153 (<strong>2012</strong>)<br />

(224) Silicon Photonics for Nonlinear<br />

applications<br />

L. Zimmermann, H. Tian, A. Gajda, K. Voigt,<br />

G. Winzer, K. Petermann, B. Tillack<br />

Proc. of the Sino-German Joint Symposium<br />

on Opto- and Microelectronic Devices and<br />

Circuits (SODC <strong>2012</strong>), M05 (<strong>2012</strong>)<br />

(225) Stacking Behaviour of twin-free Type-B<br />

oriented CeO 2<br />

(111) Films on Hexagonalpr<br />

2<br />

O 3<br />

(001) / Si(111) Systems<br />

M.H. Zoellner, J. Dabrowski, P. Zaumseil,<br />

A. Giussani, M.A. Schubert, G. Lupina,<br />

H. Wilkens, J. Wollschläger, M. Reichling,<br />

M. Bäumer, T. Schroeder<br />

Physical Review B 85, 035302 (<strong>2012</strong>)<br />

110 A n n u a l R e p o r t 2 0 1 2


E i n g e l a d e n e V O R T R Ä G E – I n v i t e d P r e s e n t a t i o n s<br />

(226) Stoichiometry-Structure Correlation of<br />

epitaxial Ce 1-x<br />

Pr x<br />

O 2-δ<br />

(x=0-1) Thin Films<br />

on Si (111)<br />

M.H. Zoellner, P. Zaumseil, H. Wilkens,<br />

S. Gevers, J. Wollschläger, M. Bäumer,<br />

Y.-H. Xie, G. Niu, T. Schroeder<br />

Journal of Crystal Growth 355, 159 (<strong>2012</strong>)<br />

(5) In-Operando HAXPES as a Non-Destructive<br />

technique for Investigating the Resistive<br />

Switching Phenomenon<br />

T. Bertaud<br />

Leti Workshop on Innovative Memory Technologies<br />

<strong>2012</strong>, Minatec Grenoble, June 21, <strong>2012</strong>,<br />

France<br />

Eingeladene Vorträge<br />

Invited Presentations<br />

(1) light Emission from Ge on Si<br />

T. Arguirov, M. Kittler, M. Oehme et al.<br />

4 th Sino-German Symposium The Silicon Age,<br />

Berlin, September 18-23, <strong>2012</strong>, Germany<br />

(2) Simulationen zur optischen Charakterisierung<br />

(Reflektometrie und Ellipsometrie)<br />

nanostrukturierter Oberflächen<br />

J. Bauer<br />

WTT-Kooperationsforum, TH Wildau, May 15,<br />

<strong>2012</strong>, Germany<br />

(3) hfO 2<br />

-based RRAM for Embedded<br />

non-Volatile Memory: From Material<br />

Science to Integrated 1T1R RRAM Arrays<br />

T. Bertaud, Ch. Walczyk, D. Walczyk,<br />

M. Sowinska, D. Wolansky, B. Tillack,<br />

G. Schoof, Ch. Wenger, S. Thiess, T. Schroeder<br />

222 nd ECS Meeting, Honolulu, October 07-12,<br />

<strong>2012</strong>, USA<br />

(4) hfO 2<br />

-based RRAM Integration for Future<br />

embedded Non-Volatile Memory<br />

applications<br />

T. Bertaud, Ch. Walczyk, D. Walczyk,<br />

M. Sowinska, T. Schroeder, Ch. Wenger<br />

Novel High k Applications Workshop, Dresden,<br />

January 25, <strong>2012</strong>, Germany<br />

(6) overview of <strong>IHP</strong> Activities on HfO 2<br />

-based<br />

rraM Integration<br />

T. Bertaud, Ch. Walczyk, D. Walczyk,<br />

M. Sowinska, T. Schroeder, Ch. Wenger<br />

Leti Seminar, Grenoble, February 10, <strong>2012</strong>,<br />

France<br />

(7) IR-UWB Transceiver für hoch-präzise<br />

lokalisierung<br />

G. Fischer, O. Klymenko, D. Martynenko<br />

Workshop on Ultra-Wideband Localization<br />

Systems for Industrial Applications, Karlsruhe,<br />

January 24, <strong>2012</strong>, Germany<br />

(8) Spectroscopic Ellipsometry / Reflectometry<br />

for Process Control of Si-Technologies<br />

O. Fursenko, J. Bauer<br />

7 th Workshop Ellipsometry, Leipzig,<br />

March 05-07, <strong>2012</strong>, Germany<br />

(9) Von der Idee zum System: Wireless<br />

engine – eine Idee auf dem Weg zur<br />

realisierung<br />

E. Grass<br />

<strong>IHP</strong>-Symposium, Frankfurt (Oder),<br />

February 13, <strong>2012</strong>, Germany<br />

(10) overview of Biosystems<br />

S. Guha<br />

Young Researchers Meet, Berlin,<br />

September 14, <strong>2012</strong>, Germany<br />

A n n u a l R e p o r t 2 0 1 2<br />

111


E i n g e l a d e n e V O R T R Ä G E – I n v i t e d P r e s e n t a t i o n s<br />

(11) advanced Transistor Architectures for<br />

half-Terahertz SiGe HBTs<br />

B. Heinemann, A. Fox, H. Rücker<br />

ECS Meeting, SiGe, Ge, and Related<br />

Compounds 5: Materials, Processing, and<br />

Devices, Honolulu, October 07-12, <strong>2012</strong>, USA<br />

(12) BiCMOS Embedded RF-MEMS Technology<br />

M. Kaynak<br />

The 7 th German Microwave Conference<br />

(GeMiC <strong>2012</strong>), Ilmenau, March 12, <strong>2012</strong>,<br />

Germany<br />

(13) BiCMOS Embedded RF-MEMS Technology<br />

and Application of it in mm-Wave<br />

reconfigurable ICs<br />

M. Kaynak, B. Tillack<br />

Analogschaltungen <strong>2012</strong>, TU Berlin,<br />

March 22-23, <strong>2012</strong>, Germany<br />

(14) latest Developments on SiGe Technology<br />

and RF-MEMS-BiCMOS Co-Integration<br />

M. Kaynak<br />

GigaHertz <strong>2012</strong> Symposium, Stockholm,<br />

March 06-07, <strong>2012</strong>, Sweden<br />

(15) MEMS-BiCMOS Integration and on-Chip<br />

antennas for mm-Wave Application<br />

M. Kaynak<br />

RF-MEMS Based Antennas Workshop, Middle<br />

East Technical University, Ankara,<br />

June 29, <strong>2012</strong>, Turkey<br />

(16) nitrogen Doped 300 mm Czochralski<br />

Silicon Wafers Optimized with Respect to<br />

Voids with Laterally Homogeneous Internal<br />

Getter Capabilities<br />

G. Kissinger, G. Raming, R. Wahlich, T. Müller<br />

4 th Sino-German Symposium The Silicon Age,<br />

Berlin, September 18-23, <strong>2012</strong>, Germany<br />

(17) oxygen Precipitation after Thermal<br />

processing on the Second and on the<br />

Millisecond Scales<br />

G. Kissinger, D. Kot, W. von Ammon,<br />

J. Dabrowski, A. Sattler<br />

6 th International Symposium on Advanced<br />

Science and Technology of Silicon Materials,<br />

Kona, Hawaii, November 19-23, <strong>2012</strong>, USA<br />

(18) the Silicon Age<br />

M. Kittler<br />

4 th Sino-German Symposium The Silicon Age,<br />

Berlin, September 18-23, <strong>2012</strong>, Germany<br />

(19) electrical Activity of Crystal Defects in<br />

Solar Silicon<br />

M. Kittler, T. Arguirov, A. Klossek, Ch. Krause,<br />

D. Mankovics, T. Mchedlidze, W. Seifert,<br />

M. Trushin<br />

E-MRS Spring Meeting, Symposium A,<br />

Strasbourg, May 15-17, <strong>2012</strong>, France<br />

(20) Forschung über CMOS-kompatible<br />

lichtemitter für die integrierte<br />

Silizium-Photonik<br />

M. Kittler, T. Arguirov, M. Oehme, M. Reiche<br />

Laser Optics <strong>2012</strong>, Berlin, March 19-21, <strong>2012</strong>,<br />

Germany<br />

(21) luminescence and EBIC Analysis of Crystal<br />

defects in Solar Silicon<br />

M. Kittler, T. Arguirov, A. Klossek, Ch. Krause,<br />

D. Mankovics, T. Mchedlidze, W. Seifert,<br />

M. Trushin<br />

11 th International Workshop on Beam<br />

Injection Assessment of Microstructures (BI-<br />

AMS <strong>2012</strong>), Annaba, June 25-28, <strong>2012</strong>, Algeria<br />

(22) IR-UWB Receiver Design Principles<br />

O. Klymenko, G. Fischer, D. Martynenko<br />

Workshop on Ultra-Wideband Localization<br />

Systems for Industrial Applications, Karlsruhe,<br />

January 24, <strong>2012</strong>, Germany<br />

112 A n n u a l R e p o r t 2 0 1 2


E i n g e l a d e n e V O R T R Ä G E – I n v i t e d P r e s e n t a t i o n s<br />

(23) epitaxial Growth of Low Defect SiGe Buffer<br />

layers for Integration of New Materials on<br />

300 mm Silicon Wafers<br />

G. Kozlowski, T. Schroeder, P. Storck<br />

222 nd Electrochemical Society Meeting,<br />

Honolulu, October 07-12, <strong>2012</strong>, Hawaii, USA<br />

(24) on the Compliant Behaviour of Free-<br />

Standing Si Nanostructures on Si(001) for<br />

Ge Nanoheteroepitaxy<br />

G. Kozlowski, P. Zaumseil, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, B. Tillack, T. Schroeder<br />

Tyndall, Cork, September 11, <strong>2012</strong>, Ireland<br />

(25) on the Compliant Behaviour of Free-<br />

Standing Si Nanostructures on Si(001)<br />

for Ge Nanoheteroepitaxy<br />

G. Kozlowski, P. Zaumseil, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, B. Tillack, T. Schroeder<br />

Bremen University, <strong>2012</strong>, Germany<br />

(26) on the Compliant Behaviour of Ge<br />

nanocrystals on Free-Standing Si<br />

nanopillars<br />

G. Kozlowski, P. Zaumseil, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, T. Schülli, B. Tillack,<br />

T. Schroeder<br />

ESRF User Meeting, Grenoble,<br />

February 07-08, <strong>2012</strong>, France<br />

(27) drahtlose Kommunikationssysteme und<br />

deren Software für die Verwendung im Auto<br />

R. Kraemer<br />

11. EUROFORUM-Jahrestagung Software<br />

im Automobil, Stuttgart, June 06-07, <strong>2012</strong>,<br />

Germany<br />

(28) the All <strong>IHP</strong> Sensor Node: Highly Integrated<br />

Sensor Nodes Using <strong>IHP</strong> Components<br />

D. Kreiser, M. Brzozowski, G. Panic,<br />

S. Olonbayar, T. Basmer, P. Langendörfer<br />

The 10 th ACM International Symposium on<br />

Mobility Management and Wireless Access<br />

(MOBIWAC <strong>2012</strong>), Paphos,<br />

October 21-22, <strong>2012</strong>, Cyprus<br />

(29) evaluating GALS Systems-Outlook and<br />

Future Prospects<br />

M. Krstic<br />

<strong>2012</strong> IEEE 27 th Convention of Electrical and<br />

Electronics Engineers (IEEI <strong>2012</strong>), Eilat,<br />

November 14-17, <strong>2012</strong>, Israel<br />

(30) a Holistic Approach towards Secure<br />

wireless Sensor Networks<br />

P. Langendörfer, Z. Dyka<br />

Ringvorlesung KIT, Karlsruhe, July 06, <strong>2012</strong>,<br />

Germany<br />

(31) Sichere drahtlose Kommunikation für<br />

Geräte mit begrenzter Rechen- und<br />

Speicherkapazität<br />

P. Langendörfer, O. Stecklina<br />

IT- und Datensicherheit im Unternehmen,<br />

IHK Cottbus, June 05, <strong>2012</strong>, Germany<br />

(32) atomic Vapor Depositions of Metal<br />

Insulator Metal Capacitors: Investigation,<br />

development and Integration<br />

M. Lukosius<br />

Leibniz-Doktoranden-Forum der Sektion D,<br />

Berlin, June 08, <strong>2012</strong>, Germany<br />

(33) Graphene Growth on Insulators: Van der<br />

waals and Catalytic Oxide Surfaces<br />

G. Lupina, G. Lippert, J. Dabrowski,<br />

M. Zoellner, T. Schroeder, W. Mehr<br />

Workshop des Leibniz-Verbundes<br />

Nanotechnologie, Berlin,<br />

January 30-31, <strong>2012</strong>, Germany<br />

(34) Graphene: Synthesis and Applications<br />

G. Lupina<br />

Summer School IRTG <strong>2012</strong>, Zeuthen,<br />

July, 24, <strong>2012</strong>, Germany<br />

(35) IC Design für UWB-Sender<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

Workshop on Ultra-Wideband Localization<br />

Systems for Industrial Applications, Karlsruhe,<br />

January 24, <strong>2012</strong>, Germany<br />

A n n u a l R e p o r t 2 0 1 2<br />

113


E i n g e l a d e n e V O R T R Ä G E – I n v i t e d P r e s e n t a t i o n s<br />

(36) light-Induced Crystallization of Thin<br />

Silicon Films<br />

T. Mchedlidze, T. Arguirov, M. Kittler<br />

4 th Sino-German Symposium The Silicon Age,<br />

Berlin, September 18-23, <strong>2012</strong>, Germany<br />

(37) atomically Controlled CVD Technology of<br />

Group IV Semiconductors for Ultralarge<br />

Scale Integration<br />

J. Murota, M. Sakuraba, B. Tillack<br />

IEEE International Conference on Solid-<br />

State and Integrated Circuit Technology<br />

(IEEE ICSICT <strong>2012</strong>), Xian,<br />

October 29-November 01, <strong>2012</strong>, China<br />

(38) Crystalline Rare Earth Oxides on Si for the<br />

Integration of Alternative Semiconductors<br />

on Si Wafers<br />

G. Niu, A. Giussani, O. Seifarth, P. Zaumseil,<br />

M.A. Schubert, M.H. Zoellner, J. Dabrowski,<br />

P. Storck, T. Schroeder<br />

The 2 nd International Conference on Small<br />

Science (ICSS <strong>2012</strong>), Orlando,<br />

December 16-19, <strong>2012</strong>, USA<br />

(39) Board Implementation and its Performance<br />

for IR-UWB IEEE.802.15.4a from Multiple<br />

aSIC Chips<br />

S. Olonbayar, D. Kreiser, D. Martynenko,<br />

G. Fischer, O. Klymenko, R. Kraemer<br />

18 th European Wireless Conference (EW <strong>2012</strong>),<br />

Poznan, April 17-20, <strong>2012</strong>, Poland<br />

(40) FP7 Project StrokeBack<br />

St. Ortmann, P. Langendörfer<br />

FP7 Cluster Meeting: ICT Solutions in the<br />

Rehabilitation Area, Brussels,<br />

October 19, <strong>2012</strong>, Belgium<br />

(41) Google, Facebook oder Big Brother-wer<br />

weiß mehr über dich?<br />

St. Ortmann<br />

Tag der Wissenschaft <strong>2012</strong>, Luckenwalde,<br />

February 13, <strong>2012</strong>, Germany<br />

(42) Single-Chips für Medizintechnik<br />

St. Ortmann, P. Langendörfer, K. Piotrowski<br />

Jahreshauptversammlung Verein Brandenburgischer<br />

Ingenieure und Wirtschaftler e.V.,<br />

Frankfurt (Oder), January 28, <strong>2012</strong>, Germany<br />

(43) telemedizin und Rehabilitation: technische<br />

Möglichkeiten und Forschungspotentiale<br />

St. Ortmann<br />

Symposium Tele-Rehabilitation,<br />

Frankfurt (Oder), April 11, <strong>2012</strong>, Germany<br />

(44) user-Centric Design in Telemedical Stroke<br />

rehabilitation<br />

St. Ortmann<br />

NET-EUCEN Workshop eHealth Scenarios and<br />

Indicators for User Centricity, Brussels,<br />

September 05, <strong>2012</strong>, Belgium<br />

(45) a Holistic Approach Towards Secure<br />

wireless Sensor Networks<br />

K. Piotrowski, St. Peter, Z. Dyka, P. Langendörfer<br />

ZUSYS Graduiertenschule, Cottbus,<br />

June, 29, <strong>2012</strong>, Germany<br />

(46) drahtlose Sensoren und Sensornetze – die<br />

Konzepte und Anwendungen<br />

K. Piotrowski<br />

Ortsverein des VBIW, Eisenhüttenstadt,<br />

August 21, <strong>2012</strong>, Germany<br />

(47) dislocation-Based Nanotransistors in Silicon<br />

M. Reiche, M. Kittler, M. Krause, H. Übensee<br />

4 th Sino-German Symposium The Silicon Age,<br />

Berlin, September 18-23, <strong>2012</strong>, Germany<br />

(48) physics and Modeling of Strain Effects in<br />

SiGe Heterojunction Bipolar Transistors<br />

H. Rücker, B. Heinemann<br />

International Workshop Mathematics for<br />

Semiconductor Heterostructures – Modeling,<br />

Analysis and Numerics, Berlin,<br />

September 24-28, <strong>2012</strong>, Germany<br />

114 A n n u a l R e p o r t 2 0 1 2


E i n g e l a d e n e V O R T R Ä G E – I n v i t e d P r e s e n t a t i o n s<br />

(49) SiGe BiCMOS Technologies for Applications<br />

above 100 GHz<br />

H. Rücker, B. Heinemann, A. Fox<br />

<strong>2012</strong> IEEE Compound Semiconductor<br />

Integrated Circuit Symposium (CSICS <strong>2012</strong>),<br />

Lan Jolla, October 14-17, <strong>2012</strong>, USA<br />

(50) SiGe BiCMOS Technology for mm-Wave<br />

Systems<br />

H. Rücker, B. Heinemann<br />

International SoC Design Conference<br />

(ISOCC <strong>2012</strong>), Jeju, November 04-07, <strong>2012</strong>,<br />

Korea<br />

(51) Innovationshemmnisse in Hochschulen<br />

und Forschungseinrichtungen<br />

A. Sänn<br />

Round Table Hochschule trifft Wirtschaft,<br />

Potsdam, November 26, <strong>2012</strong>, Germany<br />

(52) <strong>IHP</strong> BiCMOS Technologies for RF and Mixed<br />

Signal Applications<br />

R.F. Scholz, F. Teply, M. Cirillo<br />

4th International Workshop on Analogue and<br />

Mixed Signal Integrated Circuits for Space<br />

Applications (AMICSA <strong>2012</strong>), Noordwijk,<br />

August 26-28, <strong>2012</strong>, The Netherlands<br />

(53) Clear Experimental Proof of the Compliant<br />

Behaviour of Free-Standing Si Nanostructures<br />

on SOI for Ge Nanoheteroepitaxy<br />

by GI-XRD<br />

T. Schroeder, G. Kozlowski, P. Zaumseil,<br />

Y. Yamamoto, J. Bauer, T. Schülli, B. Tillack<br />

International SiGe Technology and Device<br />

Meeting (ISTDM <strong>2012</strong>), Berkeley,<br />

June 04-06, <strong>2012</strong>, USA<br />

(54) Compliant behavior of Free-Standing Si<br />

nanostructures for Ge Nanoheteroepitaxy:<br />

Bulk Si and SOI Wafer Approaches<br />

T. Schroeder<br />

IBM Zurich, August 02, <strong>2012</strong>, Switzerland<br />

(55) Ge Photonics for Si <strong>Microelectronics</strong>:<br />

From Micro- to Nanostructure Integration<br />

approaches<br />

T. Schroeder, G. Capellini, G. Kozlowski,<br />

A. Bauer, M. Lisker, Y. Yamamoto, Ch. Wenger,<br />

P. Zaumseil, B. Tillack<br />

Workshop des Leibniz-Verbundes Nanotechnologie,<br />

Berlin, January 30-31, <strong>2012</strong>, Germany<br />

(56) lattice Mismatched Semiconductor<br />

Integration on Si(001): SOI for Ge Nanoheteroepitaxy<br />

T. Schroeder<br />

4 th Sino-German Symposium The Silicon Age,<br />

Berlin, September 18-23, <strong>2012</strong>, Germany<br />

(57) „More than Moore“ Materials Research for<br />

Innovative Module Integration in <strong>IHP</strong>‘s<br />

BiCMOS<br />

T. Schroeder<br />

Fraunhofer IPMS, Dresden, June 28, <strong>2012</strong>,<br />

Germany<br />

(58) Selective Ge Micro- and Nanostructures on<br />

patterned Si(001) Wafers for Photonics<br />

and CMOS<br />

T. Schroeder, G. Kozlowski, P. Zaumseil,<br />

J. Bauer, Y. Yamamoto, B. Tillack<br />

13 th Leibniz Conference of Advanced Science-<br />

Nanoscience <strong>2012</strong>, Lichtenwalde,<br />

April 26-27, <strong>2012</strong>, Germany<br />

(59) Synchrotrondiagnostik von<br />

Metallausscheidungen in Solarsilizium<br />

W. Seifert<br />

Freiberg, June 24, <strong>2012</strong>, Germany<br />

(60) a Methodology for Fault Tolerant<br />

aSIC Design<br />

Z. Stamenkovic<br />

11 th WSEAS International Conference on<br />

Circuits, Systems Electronics, Control and<br />

Signal Processing, Montreux,<br />

December 29-31, <strong>2012</strong>, Switzerland<br />

A n n u a l R e p o r t 2 0 1 2<br />

115


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(61) MIMO Transmission in IEEE 802.11a WLAN<br />

Z. Stamenkovic<br />

5 th WSEAS International Conference on<br />

Sensors and Signals, Sliema,<br />

September 07-09, <strong>2012</strong>, Malta<br />

(62) erweiterte Sicherheit für kritische<br />

Infrastrukturen (ESCI)<br />

O. Stecklina<br />

CAST Workshop Darmstadt, October 11, <strong>2012</strong>,<br />

Germany<br />

(63) MmW Communications and Radar<br />

Y. Sun<br />

Marie Curie University, Paris,<br />

November 30, <strong>2012</strong>, France<br />

(67) X-Ray Characterization of Ge Dots<br />

epitaxially Grown on Nano-Structured<br />

Si Islands on SOI Substrates<br />

P. Zaumseil, G. Kozlowski, Y. Yamamoto,<br />

T. Schroeder<br />

The 11 th Biennial Conference on High<br />

Resolution X-Ray Diffraction and Imaging<br />

(X-TOP <strong>2012</strong>), St. Petersburg,<br />

September 15-20, <strong>2012</strong>, Russia<br />

(68) technology for Free Carrier Lifetime<br />

reduction in Silicon Nanowaveguides<br />

for Nonlinear Applications<br />

L. Zimmermann, A. Gajda, H. Tan, B. Tillack<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 15, <strong>2012</strong>, France<br />

(64) 60 GHz Transceiver for Gbps Wireless<br />

Communication<br />

Y. Sun<br />

Southeast University Nanjing,<br />

August 18, <strong>2012</strong>, China<br />

(65) SiGe BiCMOS Platform: Baseline Technology<br />

for More than Moore Functional<br />

diversification<br />

B. Tillack<br />

24. GI / GMM / ITG-Workshop Testmethoden<br />

und Zuverlässigkeit von Schaltungen und<br />

Systemen, Cottbus, February 28, <strong>2012</strong>,<br />

Germany<br />

(66) Functionalized Materials in CMOS<br />

technologies<br />

Ch. Wenger<br />

1 st Annual World Congress of Advanced Materials<br />

(WCAM <strong>2012</strong>), Beijing,<br />

June 04-08, <strong>2012</strong>, China<br />

Vorträge<br />

Presentations<br />

(1) MOCVD Growth of High-k Dielectric Ce-Al-O<br />

layers from Various MO Precursors for MIM<br />

applications<br />

A. Abrutis, M. Lukosius, M. Skapas,<br />

S. Stanionyte, V. Kubilius, Ch. Wenger,<br />

A. Zauner<br />

E-MRS, Strasbourg, May 14-18, <strong>2012</strong>, France<br />

(2) dislocation Luminescence in Highly Doped<br />

degenerated Germanium at Room<br />

temperature<br />

T. Arguirov, O. Vyvenko, M. Oehme, J. Schulze,<br />

M. Kittler<br />

International Conference on Extended<br />

Defects in Semiconductors (EDS-<strong>2012</strong>),<br />

Thessaloniki, June 24-29, <strong>2012</strong>, Greece<br />

116 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(3) entwurf fehlertoleranter Zustandsautomaten<br />

mit variablem Schutz für<br />

spezifische Eingabesequenzen<br />

M. Augustin, M. Gössel, R. Kraemer<br />

24. GI / GMM / ITG-Workshop: Testmethoden<br />

und Zuverlässigkeit von Schaltungen und<br />

Systemen, Cottbus, February 26-28, <strong>2012</strong>,<br />

Germany<br />

(4) energy Budget of an Implantable Glucose<br />

Measurement System<br />

T. Basmer, D. Genschow, M. Fröhlich,<br />

M. Birkholz<br />

BMT <strong>2012</strong>, Jena, September, 16-19, <strong>2012</strong>,<br />

Germany<br />

(5) non-Destructive HAXPES Studies of<br />

ti / HfO 2<br />

-based Resistive Switching Cells<br />

T. Bertaud, M. Sowinska, D. Walczyk,<br />

Ch. Walczyk, S. Thiess, W. Drube, T. Schroeder<br />

Hard X-Ray Photoelectron Spectroscopy and<br />

Standing Waves: Status and Trends, Grenoble,<br />

February 06-08, <strong>2012</strong>, France<br />

(6) resistive Switching of Ti / HfO 2<br />

-based<br />

Memory Devices: Impact of the Atmosphere<br />

and Oxygen Partial Pressure<br />

T. Bertaud, M. Sowinska, D. Walczyk,<br />

Ch. Walczyk, St. Kubotsch, Ch. Wenger,<br />

T. Schroeder<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(7) resistive Switching on HfO 2<br />

based Metal-<br />

Insulator-Metal Structures: Effects of the<br />

top Metal Electrode and the Oxygen Partial<br />

pressure<br />

T. Bertaud, D. Walczyk, Ch. Walczyk,<br />

S. Kubotsch, M. Sowinska, T. Schroeder,<br />

C. Vallée, V. Jousseaume, Ch. Wenger<br />

DPG Frühjahrstagung <strong>2012</strong>, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(8) oberflächenmodifizieren mit einem<br />

nd: YVO4-Laser<br />

H. Beyer, M. Hofmann, M. Burger, C. Villringer,<br />

G. Dittmar, W. Mehr, S. Schrader<br />

FH Brandenburg, April 19, <strong>2012</strong>, Germany<br />

(9) Microelectronic Biosensors for On-Line<br />

Monitoring of Key Molecules in<br />

Bioprocesses<br />

M. Birkholz, M. Fröhlich, T. Basmer, S. Trippel,<br />

S. Junne, P. Neubauer<br />

2 nd BioProScale Symposium, Berlin,<br />

February 14-16, <strong>2012</strong>, Germany<br />

(10) Minimal-invasiver Blutzuckersensor (MIBS)<br />

M. Birkholz, K.-E. Ehwald, M. Fröhlich,<br />

P. Kulse, T. Basmer, R. Ehwald, T. Guschauski,<br />

U. Stoll, H. Siegel, S. Schmaderer, J. Szeponik,<br />

D. Zahn<br />

16. GMA / ITG-Fachtagung Sensoren und<br />

Messsysteme <strong>2012</strong>, Nürnberg,<br />

May 22-23, <strong>2012</strong>, Germany<br />

(11) praktische Erfahrungen mit der<br />

präklinischen Testung von Glucosesensorsystemen<br />

M. Birkholz<br />

Workshop Technische und (Prä)Klinische<br />

Prüfung von Intelligenten Implantaten,<br />

Frankfurt / Main, December 19, <strong>2012</strong>, Germany<br />

(12) tensile Strained Ge Layers Obtained Via<br />

a Si-CMOS Compatible Approach<br />

G. Capellini, G. Kozlowski, Y. Yamamoto,<br />

M. Lisker, T. Schroeder, A. Ghrib,<br />

M. de Kersauson, M. El Kurdi, P. Boucaud,<br />

B. Tillack<br />

International SiGe Technology and Device<br />

Meeting (ISTDM <strong>2012</strong>), Berkeley,<br />

June 04-06, <strong>2012</strong>, USA<br />

A n n u a l R e p o r t 2 0 1 2<br />

117


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(13) 120 GHz Radar Mixed-Signal Transceiver<br />

W. Debski, W. Winkler, Y. Sun, M. Marinkovic,<br />

J. Borngräber, J.C. Scheytt<br />

European Microwave Integrated Circuit<br />

Conference (EuMIC <strong>2012</strong>), Amsterdam,<br />

October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(14) an X-Band, High Performance, SiGe-HBT<br />

power Amplifier for Phased Arrays<br />

T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz<br />

European Microwave Conference<br />

(EuMW <strong>2012</strong>), Amsterdam,<br />

October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(15) SiGeC: Heterojunction Bipolar Transistor<br />

Matching between Different CMOS<br />

Baselines by Tuning the Vertical Profiles<br />

at a Nanometer Scale<br />

V. Dmitriev, D. Knoll, T. Egorova, V. Seletskij,<br />

Y. Yamamoto, B. Tillack<br />

20 th International Symposium Nanostructures:<br />

Physics and Technology,<br />

Nizhny Novgorod, June, 24-30, <strong>2012</strong>, Russia<br />

(16) Side Channel Attacks and the Non-Volatile<br />

Memory of the Future<br />

Z. Dyka, Ch. Walcyk, D. Walczyk, Ch. Wenger,<br />

P. Langendörfer<br />

International Conference on Compilers, Architectures<br />

and Synthesis for Embedded Systems<br />

(CASES <strong>2012</strong>), Tampere,<br />

October 08, <strong>2012</strong>, Finland<br />

(17) towards Strong Security in Embedded and<br />

pervasive Systems: Energy and Area<br />

optimized Serial Polynomial Multipliers<br />

in GF(2k)<br />

Z. Dyka, P. Langendörfer, F. Vater, St. Peter<br />

5 th IFIP International Conference on New<br />

Technologies, Mobility and Security (NTMS<br />

<strong>2012</strong>), Istanbul, May 07-10, <strong>2012</strong>, Turkey<br />

(18) 60 GHz Wireless Broadband Cable<br />

replacement for Machine Vision<br />

applications<br />

M. Ehrig, M. Petri<br />

International Symposium on Signals, Systems<br />

and Electronics (ISSSE <strong>2012</strong>), Potsdam,<br />

October 03-05, <strong>2012</strong>, Germany<br />

(19) development of Fabrication Process for<br />

Silicon Nitride Waveguides on 200 mm<br />

wafer for Astrophotonic Applications<br />

R. Eisermann, J.C. Boggio, H.N.J. Fernando,<br />

M. Lisker, E. Matthus, H. Richter, K. Schulz,<br />

L. Zimmermann<br />

Astrophotonics Summer School <strong>2012</strong>,<br />

Wiesenburg, September 27, <strong>2012</strong>, Germany<br />

(20) 120 GHz Phased-Array Circuits in<br />

0.25 µm SiGe BiCMOS Technology<br />

M. Elkhouly, S. Glisic, F. Ellinger, J.C. Scheytt<br />

7 th German Microwave Conference<br />

(GeMIC <strong>2012</strong>), Ilmenau,<br />

March 12-14, <strong>2012</strong>, Germany<br />

(21) Moonrake Chip - Exploring Pausible<br />

Clocking Based GALS Design for 40-nm<br />

System Integration<br />

X. Fan, M. Krstic, E. Grass, B. Sanders, Ch. Heer<br />

Design, Automation and Test in Europe,<br />

(DATE <strong>2012</strong>), Dresden, March 12-16, <strong>2012</strong>,<br />

Germany<br />

(22) performance Analysis of GALS Datalink<br />

based on Pausible Clocking Scheme<br />

X. Fan, M. Krstic, E. Grass<br />

18 th IEEE International Symposium on<br />

Asynchronous Circuits and Systems<br />

(ASYNC <strong>2012</strong>), Copenhagen,<br />

May 07-09, <strong>2012</strong>, Denmark<br />

118 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(23) long-Term Reliability of High-Performance<br />

SiGe:C Heterojunction Bipolar Transistors<br />

G.G. Fischer, D. Micusik, A. Pocej<br />

IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting (BCTM <strong>2012</strong>), Portland,<br />

October 01-03, <strong>2012</strong>, USA<br />

(24) Biostability and Material Durability of an<br />

Implantable Glucose Sensor<br />

M. Fröhlich, M. Birkholz, K.-E. Ehwald,<br />

P. Kulse, O. Fursenko, J. Katzer<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(25) untersuchungen zur Biostabilität eines<br />

implantierbaren Glucosesensors<br />

M. Fröhlich, K.-E. Ehwald, P. Kulse,<br />

O. Fursenko, J. Katzer, M. Birkholz<br />

DPG Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(26) high Efficiency CW Four-Wave Mixing at<br />

1.5 µm in SOI Nano-Rib Waveguides Using<br />

p-i-n Diodes<br />

A. Gajda, G. Winzer, B. Tillack, K. Petermann,<br />

L. Zimmermann, H. Tian, R. Elschner,<br />

T. Richter, C. Schubert<br />

9 th International Conference on Group IV Photonics,<br />

San Diego, August 29-31, <strong>2012</strong>, USA<br />

(27) 60 GHz Polarimetric MIMO Sensing<br />

A.P. Garcia Ariza, R. Müller, F. Wollenschläger,<br />

R. Stephan, A. Schulz, M. Elkhouly,<br />

J.C. Scheytt, U. Trautwein, J. Müller,<br />

R.S. Thomä, M.A. Hein<br />

3 rd MC and Scientific Meeting, Barcelona,<br />

February 08-10, <strong>2012</strong>, Spain<br />

(28) 60 GHz Polarimetric MIMO Sensing:<br />

architectures and Technology<br />

A.P. Garcia Ariza, R. Müller, R. Stephan,<br />

F. Wollenschläger, A. Schulz, M. Elkhouly,<br />

J.C. Scheytt, U. Trautwein, J. Müller,<br />

R.S. Thomä, M.A. Hein<br />

European Conference on Antennas and<br />

Propagation (EuCAP <strong>2012</strong>), Prague,<br />

March 26-30, <strong>2012</strong>, Czech Republic<br />

(29) Synchronous Signal Acquisition and<br />

processing in FMCW-Radar Applications<br />

D. Genschow, M. Mahlig<br />

International Symposium on Signals, Systems<br />

and Electronics (ISSSE <strong>2012</strong>), Potsdam,<br />

October 03-05, <strong>2012</strong>, Germany<br />

(30) asynchronous Circuit Design: From Basics<br />

to Practical Applications<br />

E. Grass, M. Krstic, X. Fan, St. Zeidler<br />

The 15 th IEEE Symposium on Design and<br />

Diagnostics of Electronic Circuits and Systems<br />

Symposium (DDECS <strong>2012</strong>), Tallin,<br />

April 18-20, <strong>2012</strong>, Estonia<br />

(31) das WLAN der Zukunft - Antrittsvorlesung<br />

E. Grass<br />

Humboldt-Universität, Tag der Informatik,<br />

Berlin, May 03, <strong>2012</strong>, Germany<br />

(32) drahtlose Breitbandkommunikationssysteme<br />

E. Grass<br />

Sommerschule Mikroelektronik <strong>2012</strong>,<br />

Frankfurt (Oder), September 06, <strong>2012</strong>,<br />

Germany<br />

(33) 60-GHz-Multimode-Chip-Set and<br />

demonstrator<br />

E. Grass<br />

European Microwave Week (EuMW <strong>2012</strong>),<br />

MicroApps Seminar, Amsterdam,<br />

October 29-31, <strong>2012</strong>, The Netherlands<br />

A n n u a l R e p o r t 2 0 1 2<br />

119


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(34) STM Study of Growing Co x<br />

Ge y<br />

Structures<br />

on Ge(001) Substrate<br />

T. Grzela, W. Koczorowski, A. Wykrota,<br />

R. Czajka, N. Curson, G. Capellini, N. Curson<br />

7 th Workshop on Applications of Scanning<br />

Probe Microscopy STM / AFM <strong>2012</strong>, Zakopane,<br />

November 28-December 02, <strong>2012</strong>, Poland<br />

(35) STM Study of Growing Co x<br />

Ge y<br />

Structures<br />

on Ge(001) Substrate<br />

T. Grzela, W. Koczorowski, A. Wykrota,<br />

R. Czajka, G. Capellini, N. Curson<br />

8 th Interregional Workshop on Advanced<br />

Nanomaterials (IWAN <strong>2012</strong>), Frankfurt (Oder),<br />

November 12-13, <strong>2012</strong>, Germany<br />

(36) 130 Nanometer CMOS MEMS Based Novel<br />

Microfluidic System for Cytometry at<br />

5 GHz to 7 GHz<br />

S. Guha, K. Schmalz, Ch. Wenger,<br />

W. Krautschneider<br />

1 st International Conference on MicroFluidic<br />

Handling Systems (MFHS <strong>2012</strong>), Enschede,<br />

October 10-12, <strong>2012</strong>, The Netherlands<br />

(37) wide Frequency Range Fractional-N<br />

Synthesizer with Improved Phase Noise<br />

for Flexible Payloads<br />

H.-V. Heyer, H. Telle, A. Koelnberger, F. Herzel,<br />

J.C. Scheytt, P. Piironen, E. Lia<br />

2 nd ESA Workshop on Advanced Flexible<br />

Telecom Payloads, Noordwijk,<br />

April 17-19, <strong>2012</strong>, The Netherlands<br />

(38) p-Type Conductivity in Oxygen Deficient<br />

hfO 2-x<br />

Thin Films Grown by Reactive<br />

Molecular Beam Epitaxy<br />

E. Hildebrandt, J. Kurian, M. Müller,<br />

T. Schroeder, H.-J. Kleebe, L. Alff<br />

DPG Frühjahrstagung, Berlin,<br />

March 26-30, <strong>2012</strong>, Germany<br />

(39) eine strahlungsresistente 0.13 Mikrometer<br />

CMOS Bibliothek<br />

U. Jagdhold<br />

VDE VDI Zuverlässigkeit und Entwurf, Bremen,<br />

September, 26, <strong>2012</strong>, Germany<br />

(40) Clock Jitter Generator with Picoseconds<br />

resolution<br />

G. Jovanovic, M. Stojcev, T. Nikolic,<br />

Z. Stamenkovic<br />

28 th International Conference on <strong>Microelectronics</strong><br />

(MIEL <strong>2012</strong>), Nis,<br />

May 13-16, <strong>2012</strong>, Serbia<br />

(41) development of CMOS Integrated AIN<br />

Based SAW-Filter and the Role of<br />

Si Substrate Resistivity<br />

U. Kaletta, D. Wolansky, M. Fraschke,<br />

Ch. Wenger<br />

<strong>2012</strong> International Semiconductor<br />

Conference Dresden – Grenoble (ISCDG),<br />

Grenoble, September, 24-26, <strong>2012</strong>, France<br />

(42) Integrated ZnO and AIN based Surface<br />

acoustic Wave Devices on Silicon<br />

U. Kaletta, Ch. Wenger, P.V. Santos,<br />

S. Rauwerdink, W. Seidel, M. Fraschke,<br />

D. Wolansky, A. Scheit<br />

2 nd International Advances in Applied Physics<br />

and Materials Science Congress (APMAS<br />

<strong>2012</strong>), Antalya, April 26-29, <strong>2012</strong>, Turkey<br />

(43) Investigation of AIN and ZnO Layers for<br />

Surface Acoustic Wave (SAW) Devices<br />

U. Kaletta, M. Fraschke, D. Wolansky,<br />

P. Zaumseil, P.V. Santos, Ch. Wenger<br />

Leibniz-Doktoranden Forum der Sektion D,<br />

Berlin, June 07-08, <strong>2012</strong>, Germany<br />

120 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(44) p-type Doping of Silicon Suitable for<br />

Structures with High Aspect Ratios by<br />

using a Dopant Source of Boron Oxide<br />

Grown by Atomic Layer Deposition<br />

B. Kalkofen, V.M. Mothukuru, M. Lisker,<br />

E.P. Burte<br />

221 st ECS Conference, Seattle,<br />

May 06-10, <strong>2012</strong>, USA<br />

(45) atomic Layer Deposition of Oxide Layers<br />

as Dopant Source for Ultra-Shallow Doping<br />

of Silicon<br />

B. Kalkofen, M. Klingsporn, M. Lisker,<br />

E.P. Burte<br />

12 th International Conference on Atomic<br />

Layer Deposition (ALD <strong>2012</strong>), Dresden,<br />

June 17-20, <strong>2012</strong>, Germany<br />

(46) a SiGe Switched LNA for X-Band<br />

phased-Arrays<br />

I. Kalyoncu, T. Dinc, M. Kaynak, Y. Gurbuz<br />

European Microwave Conference<br />

(EuMW <strong>2012</strong>), Amsterdam,<br />

October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(47) a Q-Band RF-MEMS Absorptive SPST in a<br />

BiCMOS Technology<br />

M. Kaynak, W. Zhang, M. Wietstruck, B. Tillack<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 03-04, <strong>2012</strong>, Turkey<br />

(48) BiCMOS Embedded RF-MEMS Technologies<br />

for mm-Wave Applications<br />

M. Kaynak<br />

European Microwave Conference<br />

(EuMW <strong>2012</strong>), Amsterdam,<br />

October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(49) MEMS Module Integration into SiGe BiCMOS<br />

technology for Embedded System<br />

applications<br />

M. Kaynak, V. Valenta, H. Schumacher,<br />

B. Tillack<br />

IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting (BCTM <strong>2012</strong>), Portland,<br />

October 01-03, <strong>2012</strong>, USA<br />

(50) rF-MEMS Switch Module in a 0.25 μm<br />

BiCMOS Technology<br />

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews,<br />

R. Scholz, D. Knoll, F. Korndörfer, C. Wipf,<br />

K. Schulz, M. Elkhouly, K. Kaletta,<br />

M. v. Suchodoletz, K. Zoschke, M. Wilke,<br />

O. Ehrmann, V. Mühlhaus, G. Liu, T. Purtova,<br />

A.C. Ulusoy, H. Schumacher, B. Tillack<br />

Silicon Monolithic Integrated Circuits on RF<br />

Systems (SiRF <strong>2012</strong>), Santa Clara,<br />

January 16-18, <strong>2012</strong>, USA<br />

(51) packaged BiCMOS Embedded RF-MEMS<br />

Switches with Integrated Inductive Loads<br />

M. Kaynak, M. Wietstruck, W. Zhang, J. Drews,<br />

R. Barth, D. Knoll, F. Korndörfer, R. Scholz,<br />

K. Schulz, C. Wipf, B. Tillack, K. Kaletta,<br />

M. v. Suchodoletz, K. Zoschke, M. Wilke,<br />

O. Ehrmann, A. C. Ulusoy, T. Purtova, G. Liu,<br />

H. Schumacher<br />

International Microwave Symposium (IMS<br />

<strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>, Canada<br />

(52) SFDR Considerations for Current Steering<br />

high-Speed Digital to Analog Converters<br />

M. Khafaji, J.C. Scheytt, U. Jörges, C. Carta,<br />

D. Micusik, F. Ellinger<br />

IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting (BCTM <strong>2012</strong>), Portland,<br />

October 01-03, <strong>2012</strong>, USA<br />

A n n u a l R e p o r t 2 0 1 2<br />

121


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(53) Strain Control of Si and Si 1-y<br />

C y<br />

Layers in<br />

Si / Si 1-y<br />

C y<br />

/ Si(100) Heterostructures<br />

T. Kikuchi, M. Sakuraba, I. Costina, B. Tillack,<br />

J. Murota<br />

6 th International SiGe Technology and Device<br />

Meeting (ISTDM <strong>2012</strong>), Berkeley,<br />

June 04-06, <strong>2012</strong>, USA<br />

(54) Comparison of the Impact of Thermal<br />

treatments on the Second and on the<br />

Millisecond Scales on the Precipitation<br />

of Interstitial Oxygen<br />

G. Kissinger, D. Kot, W. von Ammon<br />

High Purity Silicon 12, ECS Fall Meeting,<br />

Honolulu, October 07-12, <strong>2012</strong>, USA<br />

(55) Chatty Things - Making the Internet of<br />

things Readily Usable for the Masses with<br />

XMPP<br />

R. Klauck, M. Kirsche<br />

8 th IEEE International Conference on<br />

Collaborative Computing Networking<br />

Applications and Worksharing, Pittsburgh,<br />

October 14-17, <strong>2012</strong>, USA<br />

(56) Microstructural Changes in Polycrystalline<br />

Si Thin Film Solar Cells Induced by Rapid<br />

thermal Processing<br />

M. Klingsporn, S. Steffens, Ch. Becker,<br />

M.A. Schubert, I. Costina, D. Abbou-Ras<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(57) Characterisation of Thin-Film a Si / µc-Si<br />

tandem Solar Cells on Glass Substrate<br />

A. Klossek, Ch. Krause, T. Arguirov,<br />

H.-M. Krause, W. Seifert, F. Friedrich,<br />

O. Gabriel, B. Stannowski, M. Kittler<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(58) distribution of Defects and Breakdown<br />

Sites in UMG-Si Cells Studied by<br />

luminescence Imaging<br />

A. Klossek, D. Mankovics, M. Kittler<br />

SiliconPV <strong>2012</strong>, Leuven, April 03-05, <strong>2012</strong>,<br />

Belgium<br />

(59) a Highly Integrated IR-UWB Transceiver<br />

for Communication and Localization<br />

O. Klymenko, D. Martynenko, G. Fischer<br />

International System on Chip Design<br />

Conference <strong>2012</strong>, Jeju Island,<br />

November 04-07, <strong>2012</strong>, Korea<br />

(60) low-Cost, High-Voltage SiGe:C HBTs<br />

for a 0.18 µm BiCMOS Process<br />

D. Knoll, V. Dmitriev, T. Egorova, V. Seletskij,<br />

N. Shelepin, R. Barth, G.G. Fischer, T. Grabolla,<br />

B. Tillack<br />

IEEE Bipolar / BiCMOS Circuits and Technology<br />

Meeting (BCTM <strong>2012</strong>), Portland,<br />

October 01-03, <strong>2012</strong>, USA<br />

(61) Substrate Design and Thermal Budget<br />

tuning for Integration of Photonic<br />

Components in a High-Performance<br />

SiGe:C BiCMOS Process<br />

D. Knoll, H.H. Richter, B. Heinemann,<br />

S. Lischke, Y. Yamamoto, L. Zimmermann,<br />

B. Tillack<br />

5 th International SiGe, Ge and Related<br />

Compounds: Materials, Processing and<br />

Devices Symposium-<strong>2012</strong> ECS Conference,<br />

Hawaii, October 07-12, <strong>2012</strong>, USA<br />

(62) Investigation of Optimal Silicon Avalanche<br />

photodiode Pairs for 60-GHz Balanced<br />

Subharmonic Optoelectronic Mixers<br />

M. Ko, M.J. Lee, H. Rücker, W.-Y. Choi<br />

Asia-Pacific Microwave Photonics Conference<br />

(APMP <strong>2012</strong>), Kyoto, April 25-27, <strong>2012</strong>, Japan<br />

122 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(63) Simulation and Measurements of in-Band<br />

Spurs and Phase Noise for an Integrated<br />

8-12 GHz Fractional-N PLL Synthesizer in<br />

SiGe BiCMOS<br />

A. Koelnberger, H. Telle, H.-V. Heyer, F. Herzel,<br />

J.C. Scheytt, P. Piironen, E. Lia<br />

ESA Workshop on Microwave Technology and<br />

Techniques, Noordwijk, May 21-23, <strong>2012</strong>,<br />

The Netherlands<br />

(64) energetic Spectra of Dislocation Networks<br />

produced by Hydrophilic Bonding of<br />

Silicon Wafers<br />

I. Kolevatov, M. Trushin, O. Vyvenko,<br />

M. Kittler, O. Kononchuk<br />

International Conference on Extended<br />

Defects in Semiconductors (EDS-<strong>2012</strong>),<br />

Thessaloniki, June 24-29, <strong>2012</strong>, Greece<br />

(65) Characterization of Deep Levels<br />

Introduced by RTA and by Subsequent<br />

anneals in n-Type Silicon<br />

D. Kot, T. Mchedlidze, G. Kissinger,<br />

W. von Ammon<br />

High Purity Silicon 12, ECS Fall Meeting, Honolulu,<br />

October 07 - 12, <strong>2012</strong>, USA<br />

(66) on the Strain Partitioning in Ge Clusters<br />

on Free-Standing Si(001) Nanopillars<br />

G. Kozlowski, P. Zaumseil, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, T. Schulli, B. Tillack,<br />

T. Schroeder<br />

DPG-Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(67) on the Strain Partitioning Phenomenon<br />

in Freestanding Ge / Si Nanostructures<br />

on Si(001)<br />

G. Kozlowski, P. Zaumseil, M.A. Schubert,<br />

Y. Yamamoto, J. Bauer, T.U. Schulli, B. Tillack,<br />

T. Schroeder<br />

Workshop des Leibniz-Verbundes Nanotechnologie,<br />

Berlin, January 30-31, <strong>2012</strong>, Germany<br />

(68) the Epitaxial Growth of Low Defect SiGe<br />

Buffer Layers for Integration of New<br />

Materials on 300 mm Silicon Wafers<br />

G. Kozlowski, O. Fursenko, P. Zaumseil,<br />

T. Schroeder, M. Vorderwestner, P. Storck<br />

5 th International SiGe, Ge and Related<br />

Compounds: Materials, Processing and<br />

Devices Symposium - <strong>2012</strong> ECS Conference,<br />

Hawaii, October 07-12, <strong>2012</strong>, USA<br />

(69) Funkkommunikation: Stand der Technik<br />

und Berufschancen<br />

R. Kraemer<br />

Studieninformationstag, BTU Cottbus,<br />

January 12, <strong>2012</strong>, Germany<br />

(70) uwB nach 802.15.4a für Industrieanwendungen<br />

R. Kraemer, S. Olonbayar<br />

Workshop on Ultra-Wideband Localization<br />

Systems for Industrial Applications, Karlsruhe,<br />

January 24, <strong>2012</strong>, Germany<br />

(71) applying Tire Pressure Monitoring Devices<br />

for Traffic Management Purposes<br />

M. Krstic, N. Savic, R. Kraemer, M. Junghans<br />

International Symposium on Signals, Systems<br />

and Electronics (ISSSE <strong>2012</strong>), Potsdam,<br />

October 03-05, <strong>2012</strong>, Germany<br />

(72) Investigation of HfO 2<br />

-based RRAM-<br />

Structures<br />

St. Kubotsch, T. Bertaud, D. Walczyk,<br />

M. Sowinska, H. Beyer, T. Schroeder,<br />

Ch. Wenger, Ch. Walczyk<br />

Laser Optics <strong>2012</strong>, Berlin, March 20, <strong>2012</strong>,<br />

Germany<br />

(73) evaluation of CMOS-established Metals for<br />

Interdirigated Array Microelectrodes<br />

P. Kulse, M. Birkholz, D. Bolze, K.-E. Ehwald,<br />

U. Haak, A. Schubert, M. Adamovski,<br />

U. Wollenberger<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

A n n u a l R e p o r t 2 0 1 2<br />

123


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(74) lithographic Aspects for the Fabrication<br />

of BiCMOS Embedded Bio-MEMS and<br />

rF-MEMS<br />

P. Kulse, M. Birkholz, K.-E. Ehwald, M. Kaynak,<br />

M. Wietstruck, J. Bauer, U. Haak, J. Drews,<br />

K. Schulz<br />

28 th European Mask and Lithography Conference<br />

(EMLC <strong>2012</strong>), Dresden, January 17-18, <strong>2012</strong>, Germany<br />

(75) a 62 GHz Reflectometer for Biomedical<br />

Sensor Readout in SiGe BiCMOS Technology<br />

B. Lämmle, K. Schmalz, J.C. Scheytt,<br />

D. Kissinger, R. Weigel<br />

12th Topical Meeting on Silicon Monolithic<br />

Integrated Circuits in RF Systems (SIRF <strong>2012</strong>),<br />

Santa Clara, January 16-18, <strong>2012</strong>, USA<br />

(76) an Integrated 125 GHz Sensor with<br />

read-Out Circuit for Permittivity<br />

Measurement of Liquids<br />

B. Lämmle, K. Schmalz, J.C. Scheytt,<br />

D. Kissinger, R. Weigel<br />

International Microwave Symposium (IMS<br />

<strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>, Canada<br />

(77) topologieüberwachung von drahtlosen<br />

Sensornetzen<br />

St. Lange, O. Stecklina<br />

11. GI / ITG KuVS Fachgespräch Drahtlose<br />

Sensornetze (FGSN <strong>2012</strong>), Darmstadt,<br />

September 13-14, <strong>2012</strong>, Germany<br />

(78) distributed Shared Memory as an Approach<br />

for Integrating WSNs and Cloud Computing<br />

P. Langendörfer, K. Piotrowski, M. Diaz,<br />

B. Rubio<br />

NTMS Workshop on Wireless Sensor Networks:<br />

Architectures, Deployments and Tends (WSN-<br />

ADT), Istanbul, May 07-10, <strong>2012</strong>, Turkey<br />

(79) projektmanagement von EU-Forschungsvorhaben:<br />

Chancen und Risiken der<br />

internationalen Kooperation<br />

P. Langendörfer, St. Ortmann<br />

Seminar Management des internationalen<br />

Technologietransfers, Frankfurt (Oder),<br />

May 12, <strong>2012</strong>, Germany<br />

(80) wireless Body Area Network zur<br />

Überwachung und Auswertung von<br />

Vital- und Umgebungs-Parameter<br />

P. Langendörfer, K. Piotrowski, A. Sojka<br />

WTT-Kooperationsforum, Best Practice<br />

Wireless-Anwendungen, Berlin, June 14, <strong>2012</strong>,<br />

Germany<br />

(81) wireless Telemedicine Sensor Nodes:<br />

requirements and Challenges Ahead<br />

P. Langendörfer, T. Basmer, K. Piotrowski,<br />

St. Ortmann<br />

<strong>2012</strong> IEEE Radio & Wireless Symposium<br />

(RWW <strong>2012</strong>), Santa Clara,<br />

January 15-18, <strong>2012</strong>, USA<br />

(82) Migrating Legacy PON Equipment towards<br />

Colorless ONU trough Hybrid Integrated<br />

SOI All-Optical λ-Converter<br />

I. Lazarou, C. Stamatiadis, B. Schrenk,<br />

L. Stampoulidis, L. Zimmermann, K. Voigt,<br />

G.B. Preve, L. Moerl, J. Kreissl,<br />

H. Avramopoulos<br />

OFC, Los Angeles, March 04-08, <strong>2012</strong>, USA<br />

(83) 60-GHz Voltage-Controlled Oscillator and<br />

Frequency Divider in 0.25 µm SiGe BiCMOS<br />

technology<br />

J.-M. Lee, W.-Y Choi, H. Rücker<br />

International SoC Design Conference (ISOCC<br />

<strong>2012</strong>), Jeju, November 04-07, <strong>2012</strong>, Korea<br />

124 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(84) direct Growth of Few-Layer Graphene<br />

on Mica<br />

G. Lippert, M.H. Zoellner, J. Dabrowski,<br />

G. Lupina<br />

DPG Frühjahrstagung <strong>2012</strong>, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(85) Growth of Graphene for Applications in<br />

high-Frequency Electronic Devices<br />

G. Lippert, J. Dabrowski, M. Lukosius,<br />

M. Zoellner, G. Lupina<br />

8 th Interregional Workshop on Advanced<br />

Nanomaterials (IWAN), Frankfurt (Oder),<br />

November 12-13, <strong>2012</strong>, Germany<br />

(86) <strong>MB</strong>E Based Van der Waals Epitaxy<br />

of Highly Ordered Graphene<br />

G. Lippert, J. Dabrowski, Y. Yamamoto,<br />

F. Herziger, J. Maultzsch, J. Baringhaus,<br />

C. Tegenkamp, G. Lupina<br />

17 th International Conference on Molecular<br />

Beam Epitaxy, Nara, September 23-28, <strong>2012</strong>,<br />

Japan<br />

(87) Molecular Beam Epitaxy of Graphene<br />

on Mica<br />

G. Lippert, J. Dabrowski, Y. Yamamoto,<br />

F. Herziger, J. Maultzsch, J. Baringhaus,<br />

C. Tegenkamp, M.C. Lemme, G. Lupina<br />

International Winterschool on Electronic<br />

Properties of Novel Materials (IWEPNM),<br />

Kirchberg, March 06, <strong>2012</strong>, Austria<br />

(88) low Dark Current Ge PIN Photodiode for a<br />

high-Performance, Photonic BiCMOS<br />

process for Radio-over-Fiber Applications<br />

St. Lischke, D. Knoll, L. Zimmermann,<br />

Y. Yamamoto, M. Fraschke, A. Trusch,<br />

A. Krüger, M. Kroh, B. Tillack<br />

<strong>2012</strong> IEEE Photonics Conference, Burlingame,<br />

September 23-27, <strong>2012</strong>, USA<br />

(89) Compressive-Strained PECVD Silicon<br />

nitride as a Stressor Layer<br />

M. Lisker<br />

GMM Fachgruppe 1.2.3. Abscheide- und Ätzverfahren,<br />

Erlangen, December 12-13, <strong>2012</strong>,<br />

Germany<br />

(90) „Science Fab“ für hochintegrierte<br />

InP / BiCMOS Höchstfrequenzssysteme<br />

M. Lisker, B. Tillack, N. Weimann, T. Krämer<br />

SAW-Vortreffen zum SAW-Verfahren <strong>2012</strong>,<br />

Dortmund, September 14, <strong>2012</strong>, Germany<br />

(91) dual-Band Millimeter-Wave VCO with<br />

embedded RF-MEMS Switch Module in<br />

BiCMOS Technology<br />

G. Liu, M. Kaynak, T. Purtova, A. C. Ulusoy,<br />

B. Tillack<br />

Silicon Monolithic Integrated Circuits on RF<br />

Systems (SiRF <strong>2012</strong>), Santa Clara,<br />

January 16-18, <strong>2012</strong>, USA<br />

(92) properties of Atomic Layer Deposited<br />

pt / Sr TiO 3<br />

Metal-Insulator-Metal<br />

Capacitors<br />

M. Lukosius, T. Blomberg, D. Walczyk, G. Ruhl,<br />

Ch. Wenger<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(93) properties of Stacked Al 2<br />

O 3<br />

/ SrTiO 3<br />

Metal-Insulator-Metal Capacitors<br />

M. Lukosius, T. Blomberg, G. Ruhl, Ch. Wenger<br />

17 th Workshop on Dielectrics in <strong>Microelectronics</strong><br />

(WODIM <strong>2012</strong>), Dresden,<br />

June 25-27, <strong>2012</strong>, Germany<br />

(94) direct Growth of Graphene on Insulating<br />

oxide and Silicate Substrates<br />

G. Lupina, G. Lippert, J. Dabrowski,<br />

M. Zoellner, T. Schroeder, J. Maultzsch,<br />

F. Herziger, M. Lemme<br />

Graphene Week, Delft, June 04-08, <strong>2012</strong>,<br />

The Netherlands<br />

A n n u a l R e p o r t 2 0 1 2<br />

125


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(95) Complementary RF-LDMOS Transistors<br />

realized with Standard CMOS Implantations<br />

A. Mai, H. Rücker<br />

42 nd European Solid-State Device Research<br />

Conference (ESSDERC <strong>2012</strong>), Bordeaux,<br />

September 17-21, <strong>2012</strong>, France<br />

(96) luminescence of Defects and Breakdown<br />

Sites in Multicrystalline Si Solar Cells<br />

D. Mankovics, A. Klossek, Ch. Krause,<br />

T. Arguirov, W. Seifert, M. Kittler<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(97) a 245 GHz CB LNA and SHM Mixer on<br />

SiGe Technology<br />

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt<br />

Silicon Monolithic Integrated Circuits on RF<br />

Systems (SiRF <strong>2012</strong>), Santa Clara,<br />

January 16-18, <strong>2012</strong>, USA<br />

(98) 245 GHz Subharmonic Receiver in SiGe<br />

Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt<br />

European Microwave Week (EuMW <strong>2012</strong>),<br />

Amsterdam, October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(99) performance and Complexity Analysis of<br />

Channel Coding Schemes for Multi-Gbps<br />

wireless Communications<br />

M. Marinkovic, M. Krstic, E. Grass, M. Piz<br />

IEEE International Symposium on Personal,<br />

Indoor and Mobile Radio Communications<br />

(PIMRC <strong>2012</strong>), Sydney,<br />

September 09-12, <strong>2012</strong>, Australia<br />

(100) deep Silicon Etching and Applications<br />

in <strong>IHP</strong><br />

St. Marschmeyer<br />

2. Workshop Tiefes Siliziumätzen, Chemnitz,<br />

April 17, <strong>2012</strong>, Germany<br />

(101) a Low Power 6.3 - 8.5 GHz Frequency<br />

Synthesizer in SiGe BiCMOS for<br />

IEEE802.15.4a Standard<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

International Symposium on Signals, Systems<br />

and Electronics (ISSSE <strong>2012</strong>), Potsdam,<br />

October 03-05, <strong>2012</strong>, Germany<br />

(102) Implementation of an Ultra-Low Power<br />

load Independent LC VCO<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

IEEE International Conference on Circuits &<br />

Systems (ICCAS <strong>2012</strong>), Kuala Lumpur,<br />

October 03-05, <strong>2012</strong>, Malaysia<br />

(103) low Power Programmable Frequency Divider<br />

Intended for Frequency Synthesizer Designed<br />

in Accordance to IEEE 802.15.4a Standard<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

IEEE International Conference on Circuits &<br />

Systems (ICCAS <strong>2012</strong>), Kuala Lumpur,<br />

October 03-05, <strong>2012</strong>, Malaysia<br />

(104) uwB Transmitter for Communication and<br />

localization for IEEE 802.15.4a Standard<br />

D. Martynenko, G. Fischer, O. Klymenko<br />

IEEE International Conference on Circuits &<br />

Systems (ICCAS <strong>2012</strong>), Kuala Lumpur,<br />

October 03-05, <strong>2012</strong>, Malaysia<br />

(105) new Methodology for Process Design Kit<br />

(PDK) of BiCMOS compatible RF-MEMS<br />

A. Mehdaoui, G. Schröpfer, G. Lorenz,<br />

M. Kaynak, M. Wietstruck<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 03-04, <strong>2012</strong>, Turkey<br />

(106) a New Complementary Vertical Graphene<br />

transistor: Concept and Simulations<br />

W. Mehr, J. Dabrowski, J.C. Scheytt, G. Lippert,<br />

G. Lupina<br />

International Winterschool on Electronic<br />

Properties of Novel Materials (IWEPNM),<br />

Kirchberg, March 06, <strong>2012</strong>, Austria<br />

126 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(107) Complementary Hot Carrier Transistor<br />

with Graphene Base Electrode<br />

W. Mehr, J. Dabrowski, J.C. Scheytt, G. Lippert,<br />

Y.-H. Xie, M. Lemme, S. Vaziri, G. Lupina<br />

Graphene <strong>2012</strong>, Brussels, April 10-13, <strong>2012</strong>,<br />

Belgium<br />

(108) effiziente breitbandige Leistungsverstärker:<br />

neue multidimensionale Herausforderungen<br />

und innovative Konzepte<br />

Ch. Meliani<br />

Electrical and Electronic Engineering for<br />

Communication Workshop (EEEfCOM <strong>2012</strong>),<br />

Ulm, May 22-23, <strong>2012</strong>, Germany<br />

(109) Feeder Realization for Quasi-Lumped<br />

Multilayer Resonators with Low q-factor<br />

D. Miljanovic, M. Potrebic, D.V. Tosic,<br />

Z. Stamenkovic<br />

11 th WSEAS International Conference on<br />

Circuits, Systems Electronics, Control and<br />

Signal Processing, Montreux,<br />

December 29-31, <strong>2012</strong>, Switzerland<br />

(110) 60 GHz Ultrawideband Front-Ends with<br />

Gain Control, Phase Shifter and Wave Guide<br />

transition in LTCC<br />

R. Müller, F. Wollenschläger, A. Schulz,<br />

M. Elkhouly, U. Trautwein, M.A. Hein,<br />

J. Müller, A.P. Garcia Ariza, R.S. Thomä<br />

European Conference on Antennas and<br />

Propagation, (EuCAP <strong>2012</strong>), Prague,<br />

March 26-30, <strong>2012</strong>, Czech Republic<br />

(111) epitaxial Growth of Functional Single-<br />

Crystalline Oxides on Si(001) Substrates<br />

G. Niu, T. Schroeder<br />

Alexander von Humboldt Network Meeting,<br />

Kiel, February 08-10, <strong>2012</strong>, Germany<br />

(112) epitaxial Growth of Lattice-Matched<br />

pr 0.4<br />

Y 1.6<br />

O 3<br />

on SrO-Passivated Si(001):<br />

Growth Orientation Tailoring by Interface<br />

engineering<br />

G. Niu, P. Zaumseil, M.A. Schubert,<br />

M. Zoellner, J. Dabrowski, T. Schroeder<br />

IEEE International Conference on Solid-<br />

State and Integrated Circuit Technology<br />

(IEEE ICSICT <strong>2012</strong>), Xian,<br />

October 29-November 01, <strong>2012</strong>, China<br />

(113) heteroepitaxy of Single Crystalline<br />

pr x<br />

Y 2-x<br />

O 3<br />

(x=0-2) on SrO-Buffered<br />

Si(001): Interface Engineering and<br />

Crystallography Tailoring<br />

G. Niu, P. Zaumseil, M.A. Schubert,<br />

M. H. Zoellner, J. Dabrowski, T. Schroeder<br />

<strong>2012</strong> MRS Fall Meeting, Boston,<br />

November 25-30, <strong>2012</strong>, USA<br />

(114) electrical Characterization of 311 Defects<br />

and Related Junction Leakage Currents in<br />

n-Type Si After Ion Implantation<br />

C. Nyamhere, F. Olivie, F. Christiano, Z. Essa,<br />

D. Bolze, Y. Yamamoto<br />

19. International Conference on Ion<br />

Implantation Technology, Valladolid,<br />

June 25-29, <strong>2012</strong>, Spain<br />

(115) Characterisation of a Ceria Film on Si(111)<br />

with Non-Contact Atomic Force Microscopy<br />

R. Olbrich, H.H. Pieper, M.H. Zoellner,<br />

T. Schroeder, M. Reichling<br />

DPG-Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(116) a Telemedicine System for Improved<br />

rehabilitation of Stroke Patients<br />

St. Ortmann, P. Langendörfer<br />

International Conference on Health Informatics,<br />

Algarve, February 01-04, <strong>2012</strong>, Portugal<br />

A n n u a l R e p o r t 2 0 1 2<br />

127


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(117) Middleware-Plattform für die Realisierung<br />

Internet-basierter telemedizinischer Dienste<br />

St. Ortmann, S. Pilgermann<br />

26. Treffpunkt Medizintechnik: Diagnostik<br />

und Therapie kardiovaskulärer Erkrankungen,<br />

Berlin, June 07, <strong>2012</strong>, Germany<br />

(118) telemedical Assistance for Ambulant<br />

rehabilitation of Stroke Patient<br />

St. Ortmann, P. Langendörfer, C.S. Lányi<br />

9 th World Congress on Brain Injury, Edinburgh,<br />

March 21-25, <strong>2012</strong>, Scotland<br />

(119) a Fully Digital Polar Modulator for Switch<br />

Mode RF Power Amplifier<br />

P. Ostrovskyy, J.C. Scheytt, S.J. Lee, B.H. Park,<br />

J. H. Jung<br />

International Symposium on Circuits and<br />

Systems (ISCAS <strong>2012</strong>), Seoul,<br />

May 20-23, <strong>2012</strong>, South Korea<br />

(120) performance Estimation of Fully Digital<br />

polar Modulation Driving a 2 GHz<br />

Switch-Mode Power Amplifier<br />

P. Ostrovskyy, J.C. Scheytt, A. Sadeghfam,<br />

H. Heuermann<br />

European Microwave Week, (EuMW <strong>2012</strong>),<br />

Amsterdam, October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(121) design of a Sensor Node for IEEE 802.15.4<br />

applications<br />

G. Panic, T. Basmer, H. Schomann, St. Peter,<br />

F. Vater, K. Tittelbach-Helmrich<br />

25 th IEEE International System-on-Chip<br />

Conference (SOCC <strong>2012</strong>), Niagara Falls,<br />

September 12-14, <strong>2012</strong>, USA<br />

(122) profinet IO Vulnerability Assessment and<br />

attack Derivation<br />

A. Paul, F. Schuster, H. König<br />

7 th Conference on Critical Information<br />

Infrastructures Security, Lillehammer,<br />

September 17-18, <strong>2012</strong>, Norway<br />

(123) Customized Hardware Platform for Wireless<br />

Sensor Networks in Agricultural Applications<br />

U. Pesovic, D. Projovic, S. Randjic,<br />

Z. Stamenkovic<br />

5 th WSEAS International Conference on<br />

Sensors and Signals, Sliema,<br />

September 07-09, <strong>2012</strong>, Malta<br />

(124) Implementation of IEEE 802.15.4<br />

transceiver on Software Defined Radio<br />

platform<br />

U. Pesovic, D. Gliech, P. Planinsic,<br />

Z. Stamenkovic, S. Randic<br />

20 th Telecommunications Forum<br />

(TELFOR <strong>2012</strong>), Belgrade,<br />

November 20-22, <strong>2012</strong>, Serbia<br />

(125) tool-Supported Methodology for<br />

Component-Based Design of Wireless<br />

Sensor Network Applications<br />

St. Peter, P. Langendörfer<br />

The 4 th International Workshop on<br />

Component-Based Design of Resource-<br />

Constrained Systems, (CORCS <strong>2012</strong>), Izmir,<br />

July 16-20, <strong>2012</strong>, Turkey<br />

(126) Beam Steering for LTE Backhaul<br />

M. Petri, R. Kraemer, M. Günther<br />

NEC Heidelberg, August 15, <strong>2012</strong>, Germany<br />

(127) latency Impacts of Different Parallelism<br />

levels in Data-Flow Architectures<br />

M. Petri<br />

15 th International Symposium on Wireless<br />

Personal Multimedia Communications, Taipeh,<br />

September 24-27, <strong>2012</strong>, Taiwan<br />

(128) design Methodology for Fault Tolerant<br />

aSICs<br />

V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic<br />

The 15 th IEEE Symposium on Design and<br />

Diagnostics of Electronic Circuits and Systems<br />

Symposium (DDECS <strong>2012</strong>), Tallin,<br />

April 18-20, <strong>2012</strong>, Estonia<br />

128 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(129) SEU and SET Fault Injection Models for<br />

Fault Tolerant Circuits<br />

V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic<br />

The 13 th Biennial Baltic Electronics<br />

Conference (BEC <strong>2012</strong>), Tallin,<br />

October, 03-05, <strong>2012</strong>, Estonia<br />

(130) Single Event Latchup Power Switch Cell<br />

Characterization<br />

V. Petrovic, M. Ilic, G. Schoof<br />

4 th Small Systems Simulations Symposium<br />

(SSSS <strong>2012</strong>), Nis, February 12-14, <strong>2012</strong>, Serbia<br />

(131) long-Range Wireless Sensor Nodes-Lessons<br />

learned<br />

K. Piotrowski, A. Sojka, P. Langendörfer<br />

6 th European Conference on Antennas and<br />

Propagation, (EuCAP <strong>2012</strong>), Prague,<br />

March 26-30, <strong>2012</strong>, Czech Republic<br />

(132) Multi-Radio Wireless Sensor Node for<br />

Mobile Biomedical Monitoring<br />

K. Piotrowski, St. Ortmann, P. Langendörfer<br />

BMT <strong>2012</strong>, 46 th DGBMT Annual Conference,<br />

Jena, September 16-19, <strong>2012</strong>, Germany<br />

(133) a Novel Tunable Integrates Filter for<br />

dispersion Compensation Realized in<br />

Silicon (SOI)<br />

A. Rahim, S. Schwarz, L. Zimmermann,<br />

J. Bruns, K. Voigt,<br />

The Sino-German Joint Symposium on<br />

Opto- and Microelectronic Devices and<br />

Circuits (SODC <strong>2012</strong>), Hangzhou,<br />

September 24-27, <strong>2012</strong>, China<br />

(134) electrons on Dislocations<br />

M. Reiche, M. Kittler, M. Krause, H. Übensee<br />

International Conference on Extended<br />

Defects in Semiconductors (EDS <strong>2012</strong>),<br />

Thessaloniki, June 24-29, <strong>2012</strong>, Greece<br />

(135) Characterization of Germanium-Sulfide<br />

thin Films Grown by Hot Wire Chemical<br />

Vapor Deposition<br />

D. Reso, M. Silinskas, N. Frenzel, M. Lisker,<br />

E.P. Burte<br />

Nature Conference Aachen <strong>2012</strong>, Aachen,<br />

June 17-20, <strong>2012</strong>, Germany<br />

(136) rF-MEMS Switching Circuits Fabricated<br />

in a SiGe Process Technology<br />

S. Reyaz, C. Samuelsson, R. Malmqvist,<br />

M. Kaynak, A. Alvandpour, A. Rydberg<br />

GigaHertz <strong>2012</strong> Symposium, Stockholm,<br />

March 06-07, <strong>2012</strong>, Sweden<br />

(137) a V-Band RF-MEMS SPDT Switch Network<br />

in a SiGe BiCMOS Process Technology<br />

S. Reyaz, C. Samuelsson, R. Malmquist,<br />

M. Kaynak, A. Rydberg<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 03-04, <strong>2012</strong>, Turkey<br />

(138) Millimeter-Wave RF-MEMS SPDT Switch<br />

networks in a SiGe BiCMOS Process<br />

technology<br />

S. Reyaz, C. Samuelsson, R. Malmquist,<br />

M. Kaynak, A. Rydberg<br />

European Microwave Conference<br />

(EuMW <strong>2012</strong>), Amsterdam,<br />

October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(139) plasma Etch Challenges for Technological<br />

Fabrication of Silicon Photonic Components<br />

H.H. Richter, M. Fraschke, R. Eisermann,<br />

St. Marschmeyer, D. Stolarek, K. Schulz,<br />

L. Zimmermann, B. Tillack<br />

DPG Frühjahrstagung der Sektion AMOP,<br />

Stuttgart, March 12-16, <strong>2012</strong>, Germany<br />

A n n u a l R e p o r t 2 0 1 2<br />

129


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(140) half-Terahertz SiGe BiCMOS Technology<br />

H. Rücker, B. Heinemann, A. Fox<br />

Silicon Monolithic Integrated Circuits on<br />

RF Systems (SiRF <strong>2012</strong>), Santa Clara,<br />

January 16-18, <strong>2012</strong>, USA<br />

(141) austausch zur ForMaT-Initiative Erweiterte<br />

Sicherheit für Kritische Infrastrukturen<br />

(ESCI)<br />

A. Sänn<br />

Dresden, January 27, <strong>2012</strong>, Germany<br />

(142) Complex Product Development: Using a<br />

Combined VoC Lead User Approach<br />

A. Sänn, D. Baier<br />

The 36 th Annual Conference of the German<br />

Classification Society on Data Analysis,<br />

Machine Learning and Knowledge Discovery,<br />

(GFKI <strong>2012</strong>), Hildesheim,<br />

August 01-03, <strong>2012</strong>, Germany<br />

(143) lead Users and Non-Lead-Users:<br />

Breakthrough Preferences Measured by<br />

online Analysis<br />

A. Sänn<br />

The R&D Management Conference <strong>2012</strong>,<br />

Grenoble, May 23-25, <strong>2012</strong>, France<br />

(144) lead Users and Non-Lead-Users:<br />

preferences Measured by Conjoint Analysis<br />

A. Sänn, D. Baier<br />

34 th Marketing Science Conference (ISMS<br />

<strong>2012</strong>), Boston, June 07, <strong>2012</strong>, USA<br />

(145) SiGe BiCMOS Transceivers, Antennas, and<br />

ultra-Low-Cost Packaging for the ISM<br />

Bands at 122 and 245 GHz<br />

J.C. Scheytt, Y. Sun, K. Schmalz, R. Wang<br />

International Microwave Symposium (IMS<br />

<strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>, Canada<br />

(146) 122 GHz FMCW Radar Transceiver and<br />

Components in 0.13 µm SiGe BiCMOS<br />

technology<br />

J.C. Scheytt, Y. Sun<br />

Diskussionssitzung des FA 9.1. Messverfahren<br />

der Informationstechnik zum Thema Radartechniken,<br />

Bochum, May 31, <strong>2012</strong>, Germany<br />

(147) a 245 GHz Transmitter in SiGe Technology<br />

K. Schmalz, J. Borngräber, B. Heinemann,<br />

H. Rücker, J.C. Scheytt<br />

IEEE Radio Frequency Integrated Circuits<br />

Symposium (RFIC <strong>2012</strong>), Montreal,<br />

June 17-19, <strong>2012</strong>, Canada<br />

(148) Systemarchitektur für Raumfahrtanwendungen<br />

G. Schoof, V. Petrovic, S. Montenegro<br />

24. GI / GMM / ITG-Workshop Testmethoden<br />

und Zuverlässigkeit von Schaltungen und Systemen,<br />

Cottbus, February 26, <strong>2012</strong>, Germany<br />

(149) embedded Low Power Clock Generator<br />

for Sensor Nodes<br />

O. Schrape, F. Vater<br />

Norchip <strong>2012</strong>, Kopenhagen,<br />

November 12-13, <strong>2012</strong>, Denmark<br />

(150) Klassische Ionendünnung von Focused Ion<br />

Beam Proben aus der Si-Halbleitertechnologie<br />

für die Transmissionselektronenmikroskopie<br />

A. Schubert<br />

9. Präparatorentreffen Berlin-Brandenburg,<br />

Dresden, April 20, <strong>2012</strong>, Germany<br />

(151) a Distributed Intrusion Detection System<br />

for Industrial Automation Networks<br />

F. Schuster, A. Paul<br />

17 th International Conference on Emerging<br />

Technologies & Factory Automation, Krakow,<br />

September 17-21, <strong>2012</strong>, Poland<br />

130 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(152) low-Power BiCMOS Track-and-Hold Circuit<br />

with Reduced Signal Feedthrough<br />

B. Sedighi, Y. Borokhovych, H. Gustat,<br />

J.C. Scheytt<br />

International Microwave Symposium, (IMS<br />

<strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>, Canada<br />

(153) low-Power 20-GB / s SiGe BiCMOS Driver<br />

with 2.5 V Output Swing<br />

B. Sedighi, P.Ostrovskyy, J.C. Scheytt<br />

International Microwave Symposium (IMS<br />

<strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>, Canada<br />

(154) 40 Gb / s VCSEL Driver IC with a New Output<br />

Current and Pre-Emphasis Adjustment<br />

Method<br />

B. Sedighi, J.C. Scheytt<br />

International Microwave Symposium <strong>2012</strong><br />

(IMS <strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>,<br />

Canada<br />

(155) a 77 GHz On-Chip Dipole Antenna with<br />

etched Silicon Substrate<br />

M. Seyyed-Esfahlan, M. Kaynak, I. Tekin<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 03-04, <strong>2012</strong>, Turkey<br />

(156) platform for Automated HW / SW Co-<br />

Verification, Testing and Simulation<br />

of Microprocessors<br />

A. Simevski, R. Kraemer, M. Krstic<br />

13 th Latin American Test Workshop (LATW<br />

<strong>2012</strong>), Quito, April 10-13, <strong>2012</strong>, Ecuador<br />

(157) Scalable Design of a Programmable NMR<br />

Voter with Inputs State Descriptor and<br />

Self-Checking<br />

A. Simevski, E. Hadzieva, R. Kraemer, M. Krstic<br />

NASA / ESA Conference on Adaptive Hardware<br />

and Systems (AHS <strong>2012</strong>), Nuremberg,<br />

June 25-28, <strong>2012</strong>, Germany<br />

(158) heterostructure Growth Study for GaP<br />

Collector Integration in SiGe HBT Technology<br />

O. Skibitzki, F. Hatami, P. Zaumseil,<br />

Y. Yamamoto, A. Trampert, M.A. Schubert,<br />

B. Tillack, W. T. Masselink, T. Schroeder<br />

DPG Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(159) heterostructure Growth Study for GaP<br />

Collector Material Integration in Future<br />

SiGe HBTs<br />

O. Skibitzki, F. Hatami, Y. Yamamoto,<br />

P. Zaumseil, A. Trampert, M.A. Schubert,<br />

B. Tillack, W.T. Masselink, T. Schroeder<br />

Wilhelm and Else Heraeus Physics School<br />

„<strong>Microelectronics</strong> for Society-More than<br />

Moore Expands More Moore“, Bad Honnef,<br />

June 10-16, <strong>2012</strong>, Germany<br />

(160) Single Error Plus Single Erasure Correction<br />

with Redundancy Repair Scheme for<br />

Memory Reliability Improvement<br />

P. Skoncej<br />

24. GI / GMM / ITG Workshop Testmethoden<br />

und Zuverlässigkeit von Schaltungen und<br />

Systemen, Cottbus, February 26-28, <strong>2012</strong>,<br />

Germany<br />

(161) WBR-Word and Block-Level Hard Error<br />

repair for Memories<br />

P. Skoncej<br />

Non-Volatile Memory Technology Symposium<br />

(NVMTS <strong>2012</strong>), Singapore,<br />

October 31-November 02, <strong>2012</strong>, Singapore<br />

(162) ImRNG: A Cryptographic Pseudorandom<br />

number Generator for Wireless Sensor<br />

networks<br />

A. Sojka, K. Piotrowski<br />

International Conference on Security and<br />

Cryptography (Secrypt <strong>2012</strong>), Rom,<br />

July 24-27, <strong>2012</strong>, Italy<br />

A n n u a l R e p o r t 2 0 1 2<br />

131


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(163) Concept of Vertical Bipolar Transistor with<br />

lateral Drift Region, Applied to High<br />

Voltage SiGe HBT<br />

R. Sorge, A. Fischer, R. Pliquett, C. Wipf,<br />

R. Barth<br />

Silicon Monolithic Integrated Circuits on<br />

RF Systems (SiRF <strong>2012</strong>), Santa Clara,<br />

January 16-18, <strong>2012</strong>, USA<br />

(164) Correlation of the Electrical with the<br />

Material Changes during Resistance<br />

Switching of Ti / HfO 2<br />

RRAM Devices by<br />

in-Operando HAXPES Study<br />

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,<br />

Ch. Walczyk, T. Schroeder<br />

IWAN Workshop, Frankfurt (Oder),<br />

November 12-13, <strong>2012</strong>, Germany<br />

(165) haXPES as an Non-Destructive Technique<br />

for RRAM Investigations<br />

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,<br />

Ch. Walczyk, T. Schroeder<br />

17 th Workshop on Dielectrics in <strong>Microelectronics</strong>,<br />

Dresden, June 25-27, <strong>2012</strong>, Germany<br />

(166) In-Operando HAXPES Analysis of the<br />

resistive Switching Phenomenon in<br />

ti / HfO 2<br />

-Based Systems<br />

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,<br />

Ch. Walczyk, T. Schroeder<br />

Frontiers in Electronic Materials: Correlation<br />

Effects and Memristive Phenomena, Aachen,<br />

June 17-20, <strong>2012</strong>, Germany<br />

(167) unveiling the Resistive Switching<br />

Mechanism of Ti / HfO 2<br />

/ TiN RRAM Cells<br />

by Nondestructive Hard X-Ray Photoelectron<br />

Spectroscopy<br />

M. Sowinska, T. Bertaud, D. Walczyk,<br />

Ch. Walczyk, S. Thiess, W. Drube, T. Schroeder<br />

DPG Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(168) a Hybrid Photonic Integrated Wavelength<br />

Converter on a Silicon-on-Insulator Substrate<br />

C. Stamatiadis, L. Stampoulidis, K. Vyrsokinos,<br />

I. Lazarou, D. Kalavrouziotis, L. Zimmermann,<br />

K. Voigts, G.B. Preves, L. Moerl, J. Kreissl,<br />

H. Avramopoulos<br />

OFC <strong>2012</strong>, Los Angeles, March 04-08, <strong>2012</strong>,<br />

USA<br />

(169) rear View Camera System for<br />

Car Driving Assistance<br />

Z. Stamenkovic, K. Tittelbach-Helmrich,<br />

J. Domke, C. Lörchner-Gerdaus, J. Anders,<br />

V. Sark, M. Eric, N. Sira<br />

28 th International Conference on <strong>Microelectronics</strong><br />

(MIEL <strong>2012</strong>), Nis,<br />

May 13-16, <strong>2012</strong>, Serbia<br />

(170) erweiterte Sicherheit für Kritische<br />

Infrastrukturen (ESCI)<br />

O. Stecklina<br />

Innovationsforum „ISI4people“, Berlin,<br />

June 21-22, <strong>2012</strong>, Germany<br />

(171) tandemStack – a Flexible and Customizable<br />

Sensor Node Platform for Low Power<br />

applications<br />

O. Stecklina, D. Genschow, Ch. Goltz<br />

Sensornets <strong>2012</strong>, Rome,<br />

February 24-26, <strong>2012</strong>, Italy<br />

(172) a Low-Phase-Noise 61 GHz Push-Push<br />

VCO with Divider Chain and Buffer<br />

for 122 GHz ISM<br />

Y. Sun, J.C. Scheytt<br />

IEEE Radio Frequency Integrated Circuits<br />

Symposium (RFIC <strong>2012</strong>), Montreal,<br />

June 17-19, <strong>2012</strong>, Canada<br />

132 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(173) <strong>MB</strong>E Grown GaN / Sc 2c<br />

O 3<br />

/ Y 2<br />

O 3<br />

/ Si(111)<br />

heterostructures as a Template for MOCVD<br />

GaN Deposition<br />

L. Tarnawska, M. Wosko, A. Szyzska,<br />

R. Paszkiewicz, T. Schroeder<br />

IWAN Workshop, Frankfurt (Oder),<br />

November 12-13, <strong>2012</strong>, Germany<br />

(174) novel Buffer Approach for GaN Integration<br />

on Si(111) Platform through Sc 2<br />

O 3<br />

/ Y 2<br />

O 3<br />

bi-Layer<br />

L. Tarnawska<br />

PhD Seminar at Wroclaw University of<br />

Technology, Wroclaw, May 30, <strong>2012</strong>, Poland<br />

(175) Structural and Optical Quality of GaN Films<br />

Grown on Sc 2<br />

O 3<br />

/ Y 2<br />

O 3<br />

/ Si(111)<br />

L. Tarnawska, P. Zaumseil, P. Storck,<br />

T. Schroeder<br />

DPG-Frühjahrstagung <strong>2012</strong>, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(176) Virtual GaN Substrate on Si(111) via Novel<br />

oxide bi-Layer Approach<br />

L. Tarnawska, J. Dabrowski, P. Storck,<br />

T. Schroeder<br />

Deutscher <strong>MB</strong>E Workshop <strong>2012</strong>, Hannover,<br />

September 11-12, <strong>2012</strong>, Germany<br />

(177) a 77 GHz on-Chip Strip Dipole Antenna<br />

Integrated with Balun Circuits for<br />

automotive Radar<br />

I. Tekin, M. Kayank<br />

IEEE International Symposium on Antennas<br />

and Propagation and USNC-URSI National<br />

Radio Science Meeting, Chicago,<br />

July 08-14, <strong>2012</strong>, USA<br />

(178) Bias Dependency in Modern SiGe HBTs<br />

and CMOS<br />

F.E. Teply<br />

7 th RADECS Thematic Day on Academic and<br />

PhD Research Activities (RADFAC <strong>2012</strong>),<br />

Surrey, April, 03, <strong>2012</strong>, UK<br />

(179) SiGe BiCMOS-A More than Moore Baseline<br />

technology for Functional Diversification<br />

of Opto- and Microelectronic Devices and<br />

Circuits<br />

B. Tillack, B. Heinemann, M. Kaynak,<br />

H. Rücker, L. Zimmermann, Ch. Wenger<br />

The Sino-German Joint Symposium on Optoand<br />

Microelectronic Devices and Circuits<br />

(SODC <strong>2012</strong>), Hangzhou,<br />

September 24-27, <strong>2012</strong>, China<br />

(180) an Accurate and Versatile Equivalent<br />

Circuit Model for RF-MEMS Circuit<br />

optimization in BiCMOS Technology<br />

N. Torres Matabosch, F. Coccetti, M. Kaynak,<br />

W. Zhang, B. Tillack, R. Plana, J.L. Casaux<br />

European Microwave Conference<br />

(EuMW <strong>2012</strong>), Amsterdam,<br />

October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(181) an Accurate Equivalent Circuit Model for<br />

rF-MEMS Circuit Optimization and<br />

Fabrication Process Monitoring in BiCMOS<br />

technology<br />

N. Torres Matabosch, F. Coccetti, M. Kaynak,<br />

W. Zhang, B. Tillack, J.L. Cazaux<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 03-04, <strong>2012</strong>, Turkey<br />

(182) Combined Raman-DLTS Investigations of<br />

n-Type Cu-In-S Absorber Layers Grown<br />

on Cu Tape Substrate<br />

M. Trushin, T. Arguirov, M. Kittler, W. Seifert,<br />

A. Klossek, T. Bernhard, W. Gerlach-<br />

Blumenthal, A. Hänsel, O. Tober, M. Schwabe<br />

International Conference on Extended<br />

Defects in Semiconductors (EDS-<strong>2012</strong>),<br />

Thessaloniki, June 24-29, <strong>2012</strong>, Greece<br />

A n n u a l R e p o r t 2 0 1 2<br />

133


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(183) reconfigurable Millimeter-Wave ICs in an<br />

rF-MEMS Embedded BiCMOS Technology<br />

A.C. Ulusoy, M. Kaynak, G. Liu, B. Tillack,<br />

H. Schumacher<br />

GigaHertz <strong>2012</strong> Symposium, Stockholm,<br />

March 06-07, <strong>2012</strong>, Sweden<br />

(184) reconfigurable Millimeter-Wave ICs in an<br />

rF-MEMS Embedded BiCMOS Technology<br />

A.C. Ulusoy, M. Kaynak, G. Liu, T. Purtova,<br />

B. Tillack, H. Schumacher<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 03-04, <strong>2012</strong>, Turkey<br />

(185) From Enabling Technology to<br />

applications: Reconfigurable SiGe BiCMOS<br />

ICs with Fully Integrated RF-MEMS Switches<br />

for Millimetre Wave Transceivers<br />

V. Valenta, M. Kaynak, G. Liu, A.C. Ulusoy,<br />

T. Purtova, A. Trasser, H. Schumacher<br />

ESA Microwave Technologies & Techniques<br />

Workshop, Noordwijk, May 21-23, <strong>2012</strong>,<br />

The Netherlands<br />

(186) an Integration Approach for Graphene<br />

double Gate Transistors<br />

S. Vaziri, A.D. Smith, C. Henkel, M. Östling,<br />

M.C. Lemme, G. Lupina, G. Lippert,<br />

J. Dabrowski, W. Mehr<br />

42 nd European Solid-State Device Research<br />

Conference (ESSDERC <strong>2012</strong>), Bordeaux,<br />

17-21, <strong>2012</strong>, France<br />

(187) a Satellite Internal Communication<br />

Controller: Design and Implementation<br />

M. Veleski, V. Petrovic, Z. Stamenkovic<br />

11 th WSEAS International Conference on<br />

Circuits, Systems Electronics, Control and<br />

Signal Processing, Montreux,<br />

December 29-31, <strong>2012</strong>, Switzerland<br />

(188) advanced Media Technologies for Stroke<br />

rehabilitation<br />

E. Vogiatzaki, P. Langendörfer, St. Ortmann<br />

17 th Annual CyberPsychology & CyberTherapy<br />

Conference-Experience the Future of Health &<br />

Well Being (iACToR‘s), Brussels,<br />

September 25-28, Belgium<br />

(189) pulse-Induced Resistive Switching of CMOS<br />

embedded HfO 2<br />

-based 1T1R Cells<br />

D. Walczyk, Ch. Walczyk, T. Bertaud,<br />

M. Sowinska, M. Lukosius, St. Kubotsch,<br />

T. Schroeder, Ch. Wenger<br />

DPG Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(190) pulse-Induced Resistive Switching of Si<br />

CMOS Embedded HfO 2<br />

-based 1T1R Devices<br />

D. Walczyk, T. Bertaud, M. Sowinska,<br />

M. Lukosius, D. Wolansky, M. Fraschke,<br />

A. Scheit, B. Tillack, T. Schroeder, Ch. Wenger,<br />

Ch. Walczyk,<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(191) resistive Switching Behavior in<br />

tiN / HfO 2<br />

/ Ti / TIN Devices<br />

D. Walczyk, T. Bertaud, M. Sowinska,<br />

M. Lukosius, M.A. Schubert, A. Fox,<br />

D. Wolansky, A. Scheit, M. Fraschke, G. Schoof,<br />

Ch. Wolf, R. Kraemer, B. Tillack, R. Korolevych,<br />

V. Stikanov, Ch. Wenger, T. Schroeder,<br />

Ch. Walczyk<br />

<strong>2012</strong> International Semiconductor<br />

Conference Dresden-Grenoble (ISCDG <strong>2012</strong>),<br />

Grenoble, September 24-26, <strong>2012</strong>, France<br />

(192) a Micromachined Double-Dipole Antenna<br />

for 122-140 GHz Applications Based on a<br />

SiGe BiCMOS Technology<br />

R. Wang, Y. Sun, M. Kaynak, St. Beer,<br />

J. Borngräber, J.C. Scheytt<br />

International Microwave Symposium <strong>2012</strong>,<br />

Montreal, June 17-22, <strong>2012</strong>, Canada<br />

134 A n n u a l R e p o r t 2 0 1 2


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(193) effect of Composition on the Bandgap<br />

width in Insulating Niobate Nb x<br />

Me y<br />

O z<br />

(ME=Ta or Gd) Nanolayers<br />

W.C. Wang, H.Y. Chou, M. Badylevich, T. Blomberg,<br />

Ch. Wenger, D. Dewulf, A. Hardy, M.K.<br />

Van Bael, V.V. Afanasev<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(194) the Effect of Composition on the Bandgap<br />

width in Insulating Nb x<br />

Ta y<br />

O z<br />

Nanolayers<br />

W.C. Wang, H.Y. Chou, M. Badylevich,<br />

T. Blomberg, Ch. Wenger, J.A. Kittl,<br />

V.V. Afanas‘ev<br />

E-MRS Spring Meeting, Strasbourg,<br />

May 14-18, <strong>2012</strong>, France<br />

(195) Microwave Biosensor for Characterization<br />

of Compartments in Teflon Capillaries<br />

J. Wessel, J.C. Scheytt, K. Schmalz, B. Cahill,<br />

G. Gastrock<br />

European Microwave Week, (EuMW <strong>2012</strong>),<br />

Amsterdam, October 28-November 02, <strong>2012</strong>,<br />

The Netherlands<br />

(196) dual Use in der wissenschaftlichen Praxis,<br />

ausfuhrkontrolle am <strong>IHP</strong><br />

W. Wichmann<br />

Sommerschule Helmholtz-Kolleg<br />

(HRSST <strong>2012</strong>), Kröchlendorf, August 24, <strong>2012</strong>,<br />

Germany<br />

(197) the Influence of High Temperature Stress<br />

on a BiCMOS Embedded RF-MEMS Switch<br />

M. Wietstruck, M. Kaynak, W. Zhang,<br />

K. Kaletta, B. Tillack<br />

13 th Symposium on RF-MEMS and RF-Microsystems<br />

(MEMSWAVE <strong>2012</strong>), Antalya,<br />

July 02-04, <strong>2012</strong>, Turkey<br />

(198) In-situ XRD and XRR Investigations of the<br />

oxidation Pro-Cess in Ultra thin<br />

praseodymia Films on Si(111)<br />

H. Wilkens, S. Gevers, M.H. Zoellner, T. Schroeder,<br />

J. Wollschlaeger<br />

DPG-Frühjahrstagung, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

(199) a New Method to Analyze the Behavior of<br />

SiGe:C HBTs under RF Large Signal Stress<br />

Ch. Wipf<br />

Silicon Monolithic Integrated Circuits on RF<br />

Systems (SiRF <strong>2012</strong>), Santa Clara,<br />

January 16-18, <strong>2012</strong>, USA<br />

(200) detection and Reduction of Via Faults<br />

D. Wolansky, H. Rücker, J. Bauer, U. Haak,<br />

W. Höppner, J. Katzer, P. Kulse, A. Mai,<br />

A. Scheit, K. Schulz<br />

International Semiconductor Conference<br />

Dresden Grenoble <strong>2012</strong>, (ISCDG <strong>2012</strong>),<br />

September 24-25, <strong>2012</strong>, France<br />

(201) 15 Gbps Communication over an USB3.0<br />

Cable and Even More<br />

A. Wolf, J.C. Scheytt<br />

IEEE International Conference on Communication<br />

and Signal Processing (SSD -CSP <strong>2012</strong>),<br />

Chemnitz, March 20-23, <strong>2012</strong>, Germany<br />

(202) low Threading Dislocation Density Ge<br />

Growth and Heavy Phosphorus Doping in Ge<br />

Y. Yamamoto, P. Zaumseil, G. Kozlowski,<br />

R. Kurps, B. Tillack<br />

University of Vigo and JSPS Core-to-Core<br />

Program Joint Seminar: Atomically Controlled<br />

Processing for Ultralarge Scale Integration,<br />

Vigo, September 04-06, <strong>2012</strong>, Spain<br />

A n n u a l R e p o r t 2 0 1 2<br />

135


V O R T R Ä G E – P r e s e n t a t i o n s<br />

(203) phosphorus Atomic Layer Doping in<br />

Ge Using RPCVD<br />

Y. Yamamoto, R. Kurps, Ch. Mai, I. Costina,<br />

J. Murota, B. Tillack<br />

6 th International SiGe Technology and Device<br />

Meeting (ISTDM <strong>2012</strong>), Berkeley,<br />

June 04-06, <strong>2012</strong>, USA<br />

(204) phosphorus Profile Control in Ge by<br />

Si Delta Layers<br />

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,<br />

B. Tillack<br />

SiGe, Ge, and Related Compounds 5: Materials,<br />

Processing and Devices, ECS Meeting,<br />

Honolulu, October 07-12, <strong>2012</strong>, USA<br />

(205) a 12.5 Gb / s SiGe BiCMOS Optical Receiver<br />

with a Monolithically Integrated 850-nm<br />

avalanche Photodetector<br />

J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker,<br />

W.-Y. Choi<br />

OFC NFOEC <strong>2012</strong>, Los Angeles,<br />

March 04-08, <strong>2012</strong>, USA<br />

(206) Bit-Error Rate Analysis of Integrated<br />

optoelectronic Receiver<br />

J.-S. Youn, M.-J. Lee, K.-Y. Park, W.-Y. Choi,<br />

H. Rücker<br />

Photonic Global Conference, Singapore,<br />

December 13-16, <strong>2012</strong>, Singapore<br />

(207) a Test Processor Solution for Asynchronous<br />

Chip Test<br />

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer<br />

18 th IEEE International Symposium on<br />

Asynchronous Circuits and Systems (ASYNC‚12),<br />

Lyngby, May 07-09, <strong>2012</strong>, Denmark<br />

(208) a Test Processor Solution for Asynchronous<br />

Chip Test<br />

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer<br />

Leibniz-Doktoranden-Forum der Sektion D,<br />

Berlin, June 07-08, <strong>2012</strong>, Germany<br />

(209) entwurf einer neuen Testprozessorlösung<br />

für den Funktionaltest asynchroner<br />

Schaltungen<br />

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer<br />

24. GI / GMM / ITG-Workshop: Testmethoden<br />

und Zuverlässigkeit von Schaltungen und<br />

Systemen, Cottbus, February 26-28, <strong>2012</strong>,<br />

Germany<br />

(210) Methodology for Functional Pattern<br />

Generation for Asynchronous Designs<br />

in a Test Processor Environment<br />

St. Zeidler, Ch. Wolf, M. Krstic, R. Kraemer<br />

<strong>2012</strong> IEEE 21 st Asian Test Symposium, Niigata,<br />

November 19-22, <strong>2012</strong>, Japan<br />

(211) (HR)TEM-Investigations of<br />

GaN / Sc 2<br />

O 3<br />

/ Si(111) Heterostructure<br />

D. Zengler, L. Tarnawska, T. Schroeder,<br />

T. Niermann, M. Lehmann<br />

The 15 th European Microscopy Congress-<br />

(EMC <strong>2012</strong>), Manchester,<br />

September 16-21, <strong>2012</strong>, UK<br />

(212) eM and Lumped-Element Model of BiCMOS<br />

embedded Capacitive RF-MEMS Switch<br />

W. Zhang, M. Kaynak, M. Wietstruck,<br />

V. Mühlhaus, B. Tillack<br />

7t h German Microwave Conference<br />

(GeMIC <strong>2012</strong>), Ilmenau, March 12-14, <strong>2012</strong>,<br />

Germany<br />

(213) aircraft Cabin-integrated 57-64GHz<br />

wlan Communication System<br />

V. Ziegler, B. Schulte, J. Sabater, S. Bovelli,<br />

J. Kunisch, K. Maulwurf, M. Martinez-Vazquez,<br />

C. Oikonomopoulos-Zachos, S. Glisic, M. Ehrig,<br />

E. Grass<br />

IEEE International Microwave Symposium<br />

(IMS <strong>2012</strong>), Montreal, June 17-22, <strong>2012</strong>,<br />

Canada<br />

136 A n n u a l R e p o r t 2 0 1 2


B e r i c h t e – R e p o r t s<br />

(214) hybrid Integration of Coherent Receivers<br />

for Terabit Ethernet on SOI Waveguide PLC<br />

L. Zimmermann, M. Kroh, K. Voigt, G. Winzer,<br />

H. Tian, L. Stampoulidis, B. Tillack,<br />

K. Petermann<br />

The 9 th International Conference on Group IV<br />

(GFP), San Diego, August 29-30, <strong>2012</strong>, USA<br />

(215) Silicon Photonics for Nonlinear<br />

applications<br />

L. Zimmermann, H. Tian, A. Gajda, K. Voigt,<br />

G. Winzer, K. Petermann, B. Tillack<br />

The Sino-German Joint Symposium on<br />

Opto- and Microelectronic Devices and<br />

Circuits (SODC <strong>2012</strong>), Hangzhou,<br />

September 24-27, <strong>2012</strong>, China<br />

(216) Graphene Growth on Insulators<br />

M.H. Zoellner, J. Dabrowski, G. Lippert,<br />

G. Lupina, T. Schroeder<br />

Workshop des Leibniz-Verbundes Nanotechnologie,<br />

Berlin, January 30-31, <strong>2012</strong>, Germany<br />

(217) Structure-Stoichiometry Relationship<br />

of Mixed Ce 1-x<br />

Pr x<br />

O 2-δ<br />

(x=0-1) Oxides<br />

on Si(111)<br />

M.H. Zoellner, M. Bäumer, M. Reichling, H.<br />

Wilkens, J. Wollschläger, P. Zaumseil,<br />

T. Schroeder<br />

DPG Frühjahrstagung <strong>2012</strong>, Berlin,<br />

March 25-30, <strong>2012</strong>, Germany<br />

Berichte<br />

Reports<br />

(1) Machbarkeitsstudie zur Nutzung einer<br />

Signifikanzanalyse für die Erkennung von<br />

Jammern in drahtlosen Sensornetzen<br />

St. Kornemann<br />

Praktikumsbericht für BTU Cottbus, (<strong>2012</strong>)<br />

(2) Compositionally Graded SiGe Buffers on<br />

Si(001) with and without the Back-Side SiGe<br />

G. Kozlowski, P. Zaumseil, T. Schroeder<br />

Industriebericht, (<strong>2012</strong>)<br />

(3) abschlussbericht<br />

P. Langendörfer, T. Basmer<br />

für Innoprofile Projekt TANDEM, (<strong>2012</strong>)<br />

(4) B<strong>MB</strong>F-Projekt MATRIX<br />

St. Ortmann<br />

Zwischenbericht, (<strong>2012</strong>)<br />

(5) light induced crystallization and<br />

characterization of Si nanostructures<br />

T. Mchedlidze, M. Kittler<br />

B<strong>MB</strong>F-Verbundprojekt SINOVA (FKZ 03SF0352C),<br />

Teilprojekt, Final Report, 13.08.<strong>2012</strong><br />

(6) MATRIX Ergebnisreport 3.5 – Systemmanagement-<br />

und Konfigurationsservices<br />

St. Ortmann<br />

Report (<strong>2012</strong>)<br />

(7) periodic Summary & Activity Report<br />

St. Ortmann<br />

StrokeBack Deliverable D1.2, (<strong>2012</strong>)<br />

(8) Schlussbericht Verbundvorhaben MATRIX<br />

St. Ortmann, M. Maaser, O. Maye<br />

B<strong>MB</strong>F-Forschungsvorhaben Förderkennzeichen<br />

16BS0801, (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

137


M o n o g r a p h i e n – M o n o g r a p h s<br />

(9) StrokeBack Deliverable D1.1<br />

St. Ortmann<br />

Intermediate Report, (<strong>2012</strong>)<br />

(10) a 60-GHz Wireless Backhaul System with<br />

Beam Steering Capability for LTE Small<br />

Cell Connectivity<br />

M. Petri<br />

Forwarding to Potential Partners, (<strong>2012</strong>)<br />

(11) Middleware Switch Processor –<br />

user‘s Manual<br />

V. Petrovic, G. Schoof, S. Montenegro, B. Vogel<br />

(<strong>2012</strong>)<br />

(12) Selection of Look and Feel of all Aspects<br />

of the StrokeBack System<br />

M. Schauer, L. Paramonov, St. Ortmann<br />

Project FP-ICT StrokeBack, (<strong>2012</strong>)<br />

(17) abhörsichere, schaltbare und integrierbare<br />

SAW-Funkmodule<br />

Ch. Wenger<br />

Schlussbericht zum Verbundprojekt,<br />

Teilprojekt: Integrierte (Bi)CMOS-ICs, (<strong>2012</strong>)<br />

(18) Schlussbericht zum Verbundprojekt:<br />

Materialien für extrem hohe integrierte<br />

Kapazitäten (MaxCaps) Teilprojekt:<br />

präparation und Charakterisierung von<br />

MIM-Kondensatoren<br />

Ch. Wenger<br />

Report, (<strong>2012</strong>)<br />

(19) Virtual GaN via AlGaNMultilayer Buffer<br />

on Si(111) Diagnostics Report<br />

P. Zaumseil, L. Tarnawska, D. Zengler,<br />

P. Storck, T. Schroeder, M. Lehmann<br />

Industriebericht, (<strong>2012</strong>)<br />

(13) In-Situ HAXPES Study of Ti / HfO 2<br />

/ TiN<br />

rraM Structures<br />

M. Sowinska, D. Walczyk, T. Bertaud, S. Thiess,<br />

Ch. Walczyk, T. Schroeder<br />

HASYLAB Annual Report, (<strong>2012</strong>)<br />

(14) d 5.4 Smart - Rasip<br />

O. Stecklina, F. Vater, T. Basmer<br />

Smart Project No 100032, (<strong>2012</strong>)<br />

(15) Virtual GaN Substrates on Si(111)<br />

via Oxide Heterostructures<br />

P. Storck, T. Schroeder<br />

Industriebericht – Siltronic – <strong>IHP</strong> Technology<br />

Activity Report, (<strong>2012</strong>)<br />

(16) Virtuelle GaN Substrate auf 4“ Si(111)<br />

mittels Oxidheterostrukturen als Epitaxiepufferschicht:<br />

Defektanalyse auf atomarer<br />

ebene mittels TEM zur Optimierung der<br />

wachstumsstrategie<br />

P. Storck, T. Schroeder, M. Lehmann<br />

Industriebericht, (<strong>2012</strong>)<br />

Monographien<br />

Monographs<br />

(1) X-Ray Techniques for Materials Research –<br />

from Laboratory Sources to Free Electron<br />

lasers<br />

F. Boscherini, M. Birkholz, D. Chateigner,<br />

J.-Y. Buffiere, P.F. Fewster (Eds.)<br />

Nuclear Instruments and Methods in Physics<br />

Research B 284, (<strong>2012</strong>)<br />

(2) e-MRS Fall Meeting Symposium on Stress,<br />

Structure and Stoichiometry Effects on<br />

nanomaterials<br />

V. Cracium, R. Gaboriaud, F. Sanchez,<br />

T. Schroeder (Guest Eds.)<br />

Applied Surface Science 260, (<strong>2012</strong>)<br />

138 A n n u a l R e p o r t 2 0 1 2


H a b i l i t a t i o n e n / D i s s e r t a t i o n e n – H a b i l i t a t i o n s / D i s s e r t a t i o n s<br />

(3) SiGe, Ge, and Related Compounds 5:<br />

Materials, Processing, and Devices<br />

D. Harame, T. Krishnamohan, S. Miyazaki,<br />

A. Reznicek, B. Tillack, Y. C. Yeo, M. Caymax,<br />

G. Masini, G. Niu, K. Saraswat, B. Vincent,<br />

K. Uchida (Eds.)<br />

Electrochemical Society,<br />

ECS Transactions; 50(5), (<strong>2012</strong>)<br />

(4) distributed Shared Memory and Data<br />

Consistency (for Wireless Sensor Networks –<br />

assessment of the Feasibility)<br />

K. Piotrowski<br />

Südwestdeutscher Verlag für Hochschulschriften,<br />

(<strong>2012</strong>)<br />

(5) e-MRS <strong>2012</strong> Spring Meeting-Symposium<br />

a, Advanced Silicon Materials Research for<br />

electronic and Photovoltaic Applications III<br />

S. Pizzini, G. Kissinger, H. Yamada-Kaneta,<br />

J. Kang (Eds.)<br />

Physica Status Solidi C C 9, (10-11),<br />

1857-2210 (<strong>2012</strong>)<br />

(6) Mechanismen zur Verbesserung der Quality<br />

of Experience beim mobilen Zugriff auf<br />

Streaming-orientierte Internetdienste<br />

N. Todtenberg<br />

Saarbrücken, AV Akademikerverl., (<strong>2012</strong>)<br />

(7) e-MRS <strong>2012</strong> Spring Meeting: Symposium M<br />

Ch. Wenger, J. Fompeyrine, Ch. Vallée,<br />

J.-P. Locquet (Eds.)<br />

IOP Conference Series, Materials Science and<br />

Engineering 41, (<strong>2012</strong>)<br />

Habilitationen / Dissertationen<br />

Habilitations / Dissertations<br />

(1) Spezifische Fehlertoleranz für kombinatorische<br />

und sequentielle Schaltungen<br />

M. Augustin<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

(2) Characterization of Perovskite-Like High-k<br />

dielectric Materials for Metal-Insulator-<br />

Metal Capacitors<br />

C. Baristiran-Kaynak<br />

Dissertation, TU Berlin, (<strong>2012</strong>)<br />

(3) energy-Efficient Means to Support Short<br />

end-to-End Delays in Wireless Sensor<br />

networks<br />

M. Brzozowski<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

(4) analyse und Vorhersage des Flächen- und<br />

energieverbrauches optimaler Hardware<br />

polynom-Multiplizierer für GF (2n) für<br />

elliptische Kurven Kryptographie<br />

Z. Dyka<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

(5) Structures for Nanoscale DRAM Memories<br />

B. Hudec<br />

Dissertation, IEE Bratislava, (<strong>2012</strong>)<br />

(6) entwurf eines robusten drahtlosen<br />

Kommunikationssystems für die<br />

industrielle Automatisierung unter harten<br />

echtzeitbedingungen auf Basis von<br />

ultrawideband-Impulsfunk<br />

J. Hund<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

(7) on the Compliant Behaviour of Free-<br />

Standing Si Nanostructures on Si(001)<br />

for Ge Heteroepitaxy<br />

G. Kozlowski<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

139


D i p l o m - / M a s t e r - / B a c h e l o r a r b e i t e n – D i p l o m a - s / M a s t e r - / B a c h e l o r T h e s e s<br />

(8) design and Analysis of Agile Frequency<br />

Synthesizer for Software-Defined Radio<br />

applications<br />

S.A. Osmany<br />

Dissertation, Universität Ulm, (<strong>2012</strong>)<br />

(9) latenzverringerung in Basisbandprozessoren<br />

am Beispiel eines hochratigen<br />

oFDM-Kommunikationssystems<br />

M. Petri<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

(10) novel Oxide Buffer Approach for GaN<br />

Integration on Si(111) Platform Through<br />

Sc 2<br />

O 3<br />

/ Y 2<br />

O 3<br />

-Bi-Layer<br />

L. Tarnawska<br />

Dissertation, BTU Cottbus, (<strong>2012</strong>)<br />

Diplomarbeiten / Masterarbeiten /<br />

Bachelorarbeiten<br />

Diploma Theses / Master Theses / Bachelor<br />

Theses<br />

(1) Konzeption und Implementierung einer<br />

datenbank zur zentralen Verwaltung<br />

von Mitarbeiterstammdaten einschließlich<br />

der Replikation dedizierter Benutzerdaten<br />

mit einem vorhandenen Verzeichnisdienst<br />

für das Leibniz-Institut für innovative<br />

Mikroelektronik<br />

St. Baudach<br />

Diplomarbeit, Wilhelm Büchner Hochschule,<br />

Darmstadt, (<strong>2012</strong>)<br />

(2) entwurf und Implementierung einer<br />

werkzeugbasierten Aufteilung von<br />

Sensorknoten-Software in isolierte<br />

adressräume<br />

E. Bergmann<br />

Diplomarbeit, BTU Cottbus, (<strong>2012</strong>)<br />

(3) 0.13 Micron CMOS-MEMS Based Microfluidic<br />

System for Cellomics at High Frequency<br />

S. Guha<br />

Masterarbeit, TUHH Hamburg, (<strong>2012</strong>)<br />

(4) Implementierung von IPv6 für Low-Power<br />

wireless Personal Area Networks<br />

(6LoWPan) auf Basis von IQ Level<br />

D. Heyne<br />

Bachelorarbeit, BTU Cottbus, (<strong>2012</strong>)<br />

(5) untersuchungen und Implementierung von<br />

Mechanismen zur verteilten Entscheidungsfindung<br />

in Automatisierungssystemen<br />

Ch. Hildebrand<br />

Masterarbeit, BTU Cottbus, (<strong>2012</strong>)<br />

140 A n n u a l R e p o r t 2 0 1 2


D i p l o m - / M a s t e r - / B a c h e l o r a r b e i t e n – D i p l o m a - s / M a s t e r - / B a c h e l o r T h e s e s<br />

(6) Konstruktion eines externen Kontrollgeräts<br />

für Polierköpfe einer Waferpolieranlage<br />

M. Hopp<br />

Bachelorarbeit, bbw-Hochschule<br />

Frankfurt (Oder), (<strong>2012</strong>)<br />

(7) Maskengenerierung für optoelektronische<br />

integrierte Schaltkreise<br />

S. Jätzlau<br />

Bachelorarbeit, bbw-Hochschule<br />

Frankfurt (Oder), (<strong>2012</strong>)<br />

(8) anwendung des Roboterarms AREXX<br />

ra1-PRO und Prüfung zeitkritischer Faktoren<br />

Ch. Köhler<br />

Bachelorarbeit, TH Wildau (FH), (<strong>2012</strong>)<br />

(9) evaluierung und Implementierung von<br />

Mechanismen zur selbständigen Erkennung<br />

von Jamming Attacken in drahtlosen<br />

Sensornetzen<br />

St. Kornemann<br />

Masterarbeit, BTU Cottbus, (<strong>2012</strong>)<br />

(10) Qualifikation einer Poliersuspension zum<br />

chemisch-mechanischen Polieren<br />

A. Krüger<br />

Bachelorarbeit, bbw-Hochschule<br />

Frankfurt (Oder), (<strong>2012</strong>)<br />

(11) analytische und elektrische Charakterisierung<br />

von Germanium-basierten<br />

dünnschichtsystemen<br />

Ch. Mai<br />

Masterarbeit, BTU Cottbus, (<strong>2012</strong>)<br />

(13) Characterization and Modeling of the<br />

degradation of SiGe Heterojunction Bipolar<br />

transistors (HBT)<br />

A. Pocej<br />

Masterarbeit, Hochschule Furtwangen<br />

University, (<strong>2012</strong>)<br />

(14) Feingranulare Erkennung spezifischer<br />

Bewegungsabläufe im dreidimensionalen<br />

raum zur IT-gestützten Betreuung<br />

therapeutischer Maßnahmen in der<br />

telemedizin<br />

J. Schäffner<br />

Bachelorarbeit, bbw-Hochschule<br />

Frankfurt (Oder), (<strong>2012</strong>)<br />

(15) development and Implementation of<br />

Memory Management Features on<br />

wireless Sensor Nodes<br />

K. Tolosz<br />

Masterarbeit, West Pomeranian University of<br />

Technology, Szczecin, Poland, (2102)<br />

(16) prozessentwicklung eines Rückseitenpolierteilschritts<br />

in der Halbleiterfertigung<br />

A. Trusch<br />

Bachelorarbeit, bbw-Hochschule<br />

Frankfurt (Oder), (<strong>2012</strong>)<br />

(17) transfer und Charakterisierung von<br />

CVD-Graphen<br />

S. Trutz<br />

Bachelorarbeit, TH Wildau, (<strong>2012</strong>)<br />

(12) entwurf und Realisierung einer anpassbaren<br />

grafischen Oberfläche zur<br />

Visualisierung variabler Sensordaten bei<br />

annahme über die serielle Schnittstelle<br />

S. Oehlert<br />

Masterarbeit, BTU Cottbus, (<strong>2012</strong>)<br />

A n n u a l R e p o r t 2 0 1 2<br />

141


P a t e n t e – P a t e n t s<br />

Patente<br />

Patents<br />

(1) a CMOS-compatible Germanium Tunable<br />

laser<br />

G. Capellini, Ch. Wenger, T. Schroeder,<br />

G. Kozlowski<br />

<strong>IHP</strong>.368.11 EP-Erst-Patentanmeldung<br />

am 10.02.<strong>2012</strong>, AZ: EP12154997.6<br />

(2) Individualisierte Spannungsversorgung<br />

von Bauelementen integrierter<br />

Schaltungen als Schutzmaßnahme gegen<br />

Seitenkanalangriffe<br />

Z. Dyka, P. Langendörfer<br />

<strong>IHP</strong>.376.12 DE-Patentanmeldung<br />

am 26.10.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 219 661.7<br />

(3) MEMS-Mikroviskosimeter<br />

K.-E. Ehwald, M. Birkholz, W. Winkler, P. Kulse,<br />

M. Fröhlich, D. Wolansky, E. Matthus, J. Drews,<br />

K. Schulz, U. Haak, D. Genschow<br />

<strong>IHP</strong>.373.11-DE-Patentanmeldung,<br />

am 21.06.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 210 470.4<br />

(4) Signalkonditionierung eines<br />

temperatursensors<br />

D. Genschow<br />

<strong>IHP</strong>.366.11, DE-Patentanmeldung<br />

am 15.05.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 208 159.3<br />

(5) patentanmeldung mit Siltronic AG<br />

G. Kissinger, D. Kot, T. Müller, A. Sattler<br />

DE-Patentanmeldung,<br />

am 08.08.<strong>2012</strong>, AZ:10<strong>2012</strong>214085.9<br />

(6) In SOI mit Versetzungsnetzwerk<br />

integrierte Si-LED<br />

M. Kittler, T. Arguirov, M. Reiche<br />

<strong>IHP</strong>.375.11, DE-Patentanmeldung<br />

am 28.03.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 204 987.8<br />

(7) hetero-Substrat zur Herstellung von<br />

integrierten Schaltkreisen mit optischen,<br />

optoelektronischen und elektronischen<br />

Komponenten<br />

D. Knoll, B. Heinemann, L. Zimmermann,<br />

H.H. Richter<br />

<strong>IHP</strong>.374.11, PCT-Anmeldung<br />

am 30.11.<strong>2012</strong>, AZ: PCT/EP<strong>2012</strong>/074141<br />

(8) p-type Graphene Base Transistor<br />

W. Mehr, J. Dabrowski, G. Lippert, M. Lemme,<br />

G. Lupina, J.C. Scheytt<br />

<strong>IHP</strong>.379.11, EP-Erstanmeldung<br />

am 17.01.<strong>2012</strong>, AZ: 12 151 452.5<br />

(9) austausch von Information zwischen<br />

Bluetooth-Knoten zum Aufbau eines<br />

Scatternets<br />

M. Methfessel<br />

<strong>IHP</strong>.372.11, EP-Erst-Patentanmeldung<br />

am 19.04.<strong>2012</strong>, AZ: 12 164 72<strong>6.7</strong><br />

(10) Spekulative Basisbandverarbeitung eines<br />

empfangenen Datenrahmens<br />

M. Petri<br />

<strong>IHP</strong>.378.12 DE-Patentanmeldung,<br />

am 03.08.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 213 829.3<br />

(11) Verfahren und Schaltungsanordnung zur<br />

latenzverringerung und / oder Energieeinsparung<br />

in Basisbandprozessoren<br />

sowie Anwendung derselben<br />

M. Petri<br />

<strong>IHP</strong>.380.12, DE-Patentanmeldung,<br />

am 03.08.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 213 832.3<br />

(12) Siliziumbasierter Heterobipolartransistor<br />

O. Skibitzki, T. Schroeder<br />

<strong>IHP</strong>.360.PCT, PCT-Patentanmeldung,<br />

am 17.02.<strong>2012</strong>, AZ: PCT/EP<strong>2012</strong>/052802<br />

142 A n n u a l R e p o r t 2 0 1 2


P a t e n t e – P a t e n t s<br />

(13) BILDMOS - und SOI-BILDMOS Transistor<br />

R. Sorge<br />

<strong>IHP</strong>.356.PCT, PCT-Patentanmeldung<br />

am 19.01.<strong>2012</strong>, AZ: PCT/EP<strong>2012</strong>/050761<br />

(14) Vertikaler Bipolartransistor mit lateralem<br />

Kollektor-Driftgebiet<br />

R. Sorge<br />

<strong>IHP</strong>.367.PCT-Anmeldung,<br />

am 22.06.<strong>2012</strong>, AZ: PCT/EP<strong>2012</strong>/062155<br />

(15) Schichtstruktur für Halbleiterbauelement<br />

mit Schutzvorrichtung gegen physikalische<br />

und chemische Angriffe<br />

Ch. Wenger, P. Langendörfer, F. Vater<br />

<strong>IHP</strong>.381.12, DE-Patentanmeldung,<br />

am 03.09.<strong>2012</strong>, AZ: 10 <strong>2012</strong> 215 606.2<br />

(16) halbleiterbauelemente mit steilem<br />

phosphor-Profil in einer Germaniumschicht<br />

Y. Yamamoto, B. Tillack<br />

<strong>IHP</strong>.365.11, DE-Patentanmeldung<br />

am 10.02.<strong>2012</strong>, AZ: 10<strong>2012</strong>202067.5<br />

(17) Verfahren und Infrastruktur zum Test von<br />

nicht-zeitdeterministischen Schaltungen<br />

St. Zeidler, M. Krstic, Ch. Wolf, R. Kraemer<br />

<strong>IHP</strong>.369.11, EP-Erstanmeldung ,<br />

am 09.02.<strong>2012</strong>, AZ: 12 154 651.9<br />

A n n u a l R e p o r t 2 0 1 2<br />

143


A n g e b o t e u n Pd uLb eli iskt au tni go en n e n – – D eP lu ibv le irc abt li eo s n sa n d S e r v i c e s<br />

Deliverables and Services<br />

144 A n n u a l R e p o r t 2 0 1 2


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

Multi-Projekt-Wafer (MPW)- und<br />

Prototyping-Service<br />

Das <strong>IHP</strong> bietet seinen Forschungspartnern und Kunden<br />

Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Technologien.<br />

Die Technologien sind insbesondere für Anwendungen<br />

im oberen GHz-Bereich geeignet, so z.B. für die drahtlose<br />

und Breitbandkommunikation, Radar, glasfasergestützte<br />

Kommunikation und integrierte Photonik. Sie bieten integrierte<br />

HBTs mit Grenzfrequenzen bis zu 500 GHz, integrierte<br />

RF-MEMS und integrierte HF-LDMOS-Bauelemente<br />

mit Durchbruchspannungen bis zu 22 V einschließlich<br />

komplementärer Bauelemente.<br />

Multi Project Wafer (MPW) and<br />

Prototyping Service<br />

<strong>IHP</strong> offers research partners and customers access to<br />

its powerful SiGe BiCMOS technologies.<br />

The technologies are especially suited for applications<br />

in the higher GHz range (e.g. for wireless, broadband,<br />

radar, fiberoptic communication and integrated<br />

photonics). They provide integrated HBTs with cutoff<br />

frequencies of up to 500 GHz, integrated RF-MEMS,<br />

and integrated RF LDMOS devices with breakdown voltages<br />

up to 22 V, including complementary devices.<br />

Verfügbar sind folgende SiGe BiCMOS Technologien:<br />

SG25H1: Eine 0,25-µm-BiCMOS- Technologie<br />

mit npn-HBTs bis zu f T<br />

/ f max<br />

= 180 / 220 GHz.<br />

SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit<br />

mehreren npn-HBTs, deren Parameter von<br />

einer hohen HF-Performance<br />

(f T<br />

/ f max<br />

= 110 / 180 GHz) zu größeren<br />

Durchbruchspannungen bis zu 7 V reichen.<br />

The following SiGe BiCMOS technologies are available:<br />

SG25H1: A high-performance 0.25 µm BiCMOS with<br />

npn-HBTs up to f T<br />

/ f max<br />

= 180 / 220 GHz.<br />

SG25H3: A 0.25 µm BiCMOS with a set of npn-HBTs<br />

ranging from a high RF performance<br />

(f T<br />

/ f max<br />

= 110 GHz / 180 GHz) to higher<br />

breakdown voltages up to 7 V.<br />

SGB25V: Eine kostengünstige 0,25-µm-BiCMOS-<br />

Technologie mit mehreren npn-Transistoren<br />

mit Durchbruchspannungen bis zu 7 V.<br />

SGB25V: A cost-effective 0.25 µm BiCMOS with a set of<br />

npn-HBTs up to a breakdown voltage<br />

of 7 V.<br />

SG13S:<br />

Eine 0,13-µm-BiCMOS-Hochleistungs-<br />

Technologie mit npn-HBTs bis zu<br />

f T<br />

/ f max<br />

= 250 / 300 GHz mit 3,3 V I / O-CMOS<br />

und 1,2 V Logik-CMOS.<br />

SG13S:<br />

A high-performance 0.13 µm BiCMOS with<br />

npn-HBTs up to f T<br />

/ f max<br />

= 250 / 300 GHz, with<br />

3.3 V I / O CMOS and 1.2 V logic CMOS.<br />

SG13G2:<br />

Eine 0,13-µm-BiCMOS-Hochleistungs-<br />

Technologie mit den gleichen Bauelementen<br />

wie SG13S, aber einer wesentlich höheren<br />

Bipolar-Performance mit<br />

f T<br />

/ f max<br />

= 300 / 500 GHz.<br />

SG13G2: A 0.13 µm BiCMOS technology with the<br />

same device portfolio as SG13S but much<br />

higher bipolar performance with<br />

f T<br />

/ f max<br />

= 300 / 500 GHz.<br />

Das Backend enthält 3 (SG13: 5) dünne und 2 dicke<br />

Metallebenen (TM1: 2 µm, TM2: 3 µm).<br />

Es finden technologische Durchläufe nach einem festen,<br />

unter www.ihp-microelectronics.com verfügbaren<br />

Zeitplan statt.<br />

The backend offers 3 (SG13: 5) thin and 2 thick metal<br />

layers (TM1: 2 µm, TM2: 3 µm).<br />

The schedule for MPW & Prototyping runs is published<br />

at www.ihp-microelectronics.com.<br />

A n n u a l R e p o r t 2 0 1 2<br />

145


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

Ein Cadence-basiertes Design-Kit für Mischsignale ist<br />

verfügbar. Wiederverwendbare Schaltungsblöcke und<br />

IPs des <strong>IHP</strong> für die drahtlose und Breitbandkommunikation<br />

werden zur Unterstützung von Designs angeboten.<br />

A cadence-based mixed signal design kit is available.<br />

For high frequency designs an analogue Design Kit in<br />

ADS can be used. <strong>IHP</strong>’s reusable blocks and IPs are<br />

offered to support designs.<br />

Zusätzliche Module sind für bestimmte<br />

SiGe-BiCMOS-Technologien verfügbar:<br />

The following Technology Modules are available:<br />

GD:<br />

Zusätzliche integrierte, komplementäre<br />

HF-LDMOS mit nLDMOS bis 22 V , pLDMOS<br />

bis -16 V Durchbruchspannung und einem<br />

isolierten nLDMOS.<br />

(verfügbar in SGB25V)<br />

GD:<br />

Additional integrated complementary<br />

RF LDMOS devices with nLDMOS up to 22 V,<br />

pLDMOS up to -16 V breakdown voltage<br />

and an isolated nLDMOS device.<br />

(available in SGB25V)<br />

H3P:<br />

Zusätzliche pnp-HBTs mit<br />

f T<br />

/ f max<br />

= 90/120 GHz für komplementäre<br />

Bipolar-Anwendungen.<br />

(verfügbar in SG25H3)<br />

H3P:<br />

Additional pnp-HBTs with<br />

f T<br />

/ f max<br />

= 90 / 120 GHz for complementary<br />

bipolar applications.<br />

(available in SG25H3)<br />

RF-MEMS-Schalter:<br />

Zusätzliche kapazitive MEMS-Schalter für<br />

Frequenzen zwischen 30 GHz und 100 GHz.<br />

(verfügbar in SG25H1 und SG25H3)<br />

RF-MEMS Switch:<br />

Additional capacitive MEMS switch devices<br />

for frequencies between 30 GHz and 100 GHz.<br />

(available in SG25H1 and SG25H3 technology)<br />

LBE:<br />

Das Modul für lokales Rückseitenätzen (LBE)<br />

wird angeboten zur Verbesserung der<br />

passiven Performance durch lokales<br />

Entfernen von Silizium.<br />

(verfügbar in allen Technologien).<br />

LBE:<br />

the Localized Backside Etching (LBE)<br />

module is offered to remove silicon locally<br />

to improve passive performance.<br />

(available in all technologies)<br />

146 A n n u a l R e p o r t 2 0 1 2


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

Die wesentlichen Parameter der Technologien sind:<br />

Bipolar Section<br />

Technical key-parameters of the technologies are:<br />

SG25H1 npn1 npn2<br />

A E<br />

0.21 x 0.84 µm 2 0.18 x 0.84 µm 2<br />

peak f max<br />

190 GHz 220 GHz<br />

peak f T<br />

190 GHz 180 GHz<br />

BV CE0<br />

1.9 V 1.9 V<br />

BV CBo<br />

4.5 V 5.0 V<br />

V A<br />

40 V 40 V<br />

β 270 260<br />

SG25H3 high Medium high pnp<br />

performance Voltage Voltage h3P Module<br />

A E<br />

0.22 x 0.84 µm 2 0.22 x 2.24 µm 2 0.22 x 2.24 µm 2 0.21 x 0.84 µm 2<br />

peak f max<br />

180 GHz 140 GHz 80 GHz 120 GHz<br />

peak f T<br />

110 GHz 45 GHz 25 GHz 90 GHz<br />

BV CE0<br />

2.3 V 5 V > 7 V - 2.5 V<br />

BV CBo<br />

6.0 V 15.5 V 21.0 V - 4.0 V<br />

V A<br />

30 V 30 V 30 V 30 V<br />

β 150 150 150 100<br />

SGB25V high Standard high<br />

performance<br />

Voltage<br />

A E<br />

0.42 x 0.84 µm 2 0.42 x 0.84 µm 2 0.42 x 0.84 µm 2<br />

peak f max<br />

95 GHz 90 GHz 70 GHz<br />

peak f T<br />

75 GHz 45 GHz 25 GHz<br />

BV CEO<br />

2.4 V 4.0 V 7.0 V<br />

BV CBO<br />

> 7 V > 15 V > 20 V<br />

V A<br />

> 50 V > 80 V > 100 V<br />

β 190 190 190<br />

SG13S npn13p npn13V<br />

A E<br />

0.12 x 0.48 µm 2 0.18 x 1.02 µm 2<br />

peak f max<br />

300 GHz 120 GHz<br />

peak f T<br />

250 GHz 45 GHz<br />

BV CE0<br />

1.7 V 3.7 V<br />

BV CBo<br />

5.0 V 16 V<br />

β 900 600<br />

A n n u a l R e p o r t 2 0 1 2<br />

147


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

CMOS Section<br />

SG25H1 / H3*<br />

SG13S***<br />

Core Supply Voltage 2.5 V 3.3 V 1.2 V<br />

nMOS V th<br />

0.6 V 0.65 V 0.49 V<br />

I out<br />

** 540 µA / µm 520 µA / µm 500 µA / µm<br />

I off<br />

3 pA / µm 10 pA / µm 500 pA / µm<br />

pMOS V th<br />

- 0.56 V - 0.61 V - 0.42 V<br />

I out<br />

- 230 µA / µm - 220 µA / µm - 210 µA / µm<br />

I off<br />

- 3 pA / µm - 10 pA / µm - 500 pA / µm<br />

*: Parameters for SGB25V are similar<br />

**: @V G<br />

= 2.5 V<br />

***: Parameters for SG13G2 have to be defined<br />

Passive Section<br />

SG25H1 / H3 SGB25V SG13S<br />

MIM Capacitor 1 fF / µm 2 1 fF / µm 2 1.5 fF / µm 2<br />

N + Poly Resistor 210 Ω / 210 Ω / -<br />

P + Poly Resistor 280 Ω / 310 Ω / 250 Ω /<br />

High Poly Resistor 1600 Ω / 2000 Ω / 1300 Ω /<br />

Varactor C max<br />

/ C min<br />

3 tbd. tbd.<br />

Inductor Q@5 GHz 18 (1 nH) 18 (1 nH) 18 (1 nH)<br />

Inductor Q@10 GHz 20 (1 nH) 20 (1 nH) 20 (1 nH)<br />

Inductor Q@5 GHz 37 (1 nH)* 37 (1 nH)* 37 (1 nH)*<br />

*: with LBE<br />

148 A n n u a l R e p o r t 2 0 1 2


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

GD Module<br />

nLDMOS<br />

pLDMOS<br />

nld2GD22C iNLD2GD13A **** pld2G19B<br />

BV DSS<br />

* 22 V 14 V - 16 V<br />

V TH<br />

0.55 V 0.6 V - 0.5 V<br />

I OUT<br />

** 460 µA / µm 440 µA / µm - 170 µA / µm<br />

R ON<br />

4 Ωmm 4 Ωmm 14 Ωmm<br />

Peak f max<br />

*** 52 GHz 50 GHz 30 GHz<br />

Peak f T<br />

*** 20 GHz 28 GHz 9 GHz<br />

*:@ 50 pA/µm<br />

** :@ V G<br />

= 2.5 V<br />

***:@ V DS<br />

= 4 V<br />

****: substrate isolated<br />

RF-MEMS Switch Module<br />

Actuation Voltage<br />

25 V<br />

C on<br />

/ C off<br />

> 10<br />

Switch Time < 10 µs<br />

Temperature -30…+125 °C<br />

Isolation*<br />

< 20 dB<br />

Insertion Loss*<br />

< 1 dB<br />

Continuous Power Handling 13 dB<br />

*@ 60 GHz<br />

A n n u a l R e p o r t 2 0 1 2<br />

149


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

Design Kits<br />

The design kits support a Cadence mixed signal platform:<br />

- Design Framework II (Cadence 6.1)<br />

- Behavioral Modeling (Verilog HDL)<br />

- Logic Synthesis & Optimization (VHDL / HDL<br />

Compiler, Design Compiler / Synopsys,<br />

Power Compiler / Synopsys)<br />

- Test Generation / Synthesizer / Test Compiler<br />

(Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS,<br />

Cadence Incisive / Behavioral, Digital: Mentor<br />

Graphics ModelSim)<br />

- Place & Route (Cadence EDI)<br />

- Layout (Cadence Virtuoso Editor)<br />

- Verification (Assura: DRC / LVS / Extract / Parasitic<br />

Extraction)<br />

- ADS-support via Golden Gate/RFIC dynamic link<br />

to Cadence is available<br />

- Standalone ADS Kit including Momentum<br />

substrate layer file<br />

- Sonnet support for all design kits<br />

- Support of Analog Office and TexEDA via partners<br />

is available<br />

- ECL library for SGB25V<br />

- Radiation hard CMOS library for SGB25V / SG13S.<br />

Analog and Digital IP<br />

Analog IP<br />

6 GHz RF Components<br />

6 GHz LNA with 12 dB signal enhancement<br />

RF-VGA with 30 dB signal enhancement<br />

Variable phase shifter (0 to 360o)<br />

60 GHz Circuits<br />

Complete 60 GHz transceiver and components in<br />

SiGe BiCMOS<br />

60 GHz TX and RX IC with phase shifter<br />

60 GHz beamforming components and<br />

integrated frontend<br />

60 GHz channel sounder module<br />

60 GHz planar PCB-integrated antenna<br />

122 GHz Radar & Sensors<br />

122 GHz CW / FMCW Radar IC<br />

D-band on-chip antenna (with Localized Backside<br />

Etching)<br />

Integrated 122 GHz receiver with calibration and<br />

digital control via SPI<br />

245 GHz<br />

Integrated 245 GHz transmitter and receiver<br />

ADCs, DACs and Logic<br />

18 GS / s 4 bit DAC with 6 GHz bandwidth<br />

10 GS / s 6 bit DAC with offline calibration<br />

Low speed and low power calibration DACs,<br />

1 MS / s, 8 – 14 bit resolution,<br />

0.2 – 1.5 mW DC power consumption<br />

Frequency divider operating up to 40 GHz<br />

9 GS / s (2.1 - 2.2 GHz) bandpass delta-sigma modulator<br />

Bandpass delta-sigma modulators for class-S<br />

amplifiers (450 MHz, 900 MHz, 2 GHz)<br />

Frequency Synthesizers<br />

0.6 – 4.4 GHz, 10 – 14 GHz and 20 – 24 GHz fully integrated<br />

frequency synthesizer with low phase noise<br />

(Integer-N and DS-Fractional-N)<br />

VCOs and integer-N PLLs for various frequencies<br />

from 5 – 120 GHz<br />

Low-noise SiGe LC-VCOs in the range between<br />

10 and 120 GHz<br />

Multi-Gigabit Fiberoptical ICs<br />

20 Gbps transimpedance amplifier with DC cancelation<br />

6 V differential 40 Gbps MZM driver<br />

VCSEL driver up to 40 Gbps<br />

80 Gbps decision feedback equalizer for<br />

fiberoptic communications<br />

Impulse Radio UWB Transceiver and Components<br />

UWB single-chip transceiver compliant to<br />

standard IEEE 802.15.4a<br />

Impulse UWB RF transceiver with localization<br />

capability<br />

UWB RF components between 6.0 and 8.5 GHz<br />

150 A n n u a l R e p o r t 2 0 1 2


A n g e b o t e u n d L e i s t u n g e n – D e l i v e r a b l e s a n d S e r v i c e s<br />

Space Application Circuits<br />

8 – 12 GHz delta sigma fractional-N Synthesizer<br />

Programmable integer-N / fractional-N frequency<br />

divider<br />

Digital IP<br />

Crypto Cores<br />

IP cores for flexible AES, ECC, MD5 and SHA-1<br />

crypto processors<br />

Interfaces<br />

Cardbus, GPIO, I2C slave, SPI master, SPI slave, VGA<br />

monitor, UART, 16-bit timer<br />

Communication Cores<br />

60 GHz MAC processor, 60 GHz OFDM baseband processor,<br />

LDPC Co/Decoder, RS Co/Decoder, IR-UWB (IEEE<br />

802.15.4a) baseband and front-end, Viterbi decoder,<br />

FFT, WLAN (IEEE 802.11a) baseband processor and<br />

MAC processor<br />

Sensor Nodes<br />

16-bit Crypto-microcontroller, also with 64K internal<br />

Flash (TNode)<br />

32-bit Crypto-microcontroller (Trusted Sensor Node)<br />

UWB Node<br />

Transfer of Technologies and Technology<br />

Modules<br />

<strong>IHP</strong> offers its 0.25 µm BiCMOS technologies and technology<br />

modules (HBT-Modules, LDMOS-Modules) for<br />

transfer. The technological parameters comply to a<br />

large extent with the parameters described above for<br />

MPW & Prototyping.<br />

Process Module Support<br />

<strong>IHP</strong> offers support for advanced process modules for<br />

research and development purposes and small volume<br />

prototyping.<br />

Process modules available include:<br />

- Standard processes (implantation, etching,<br />

CMP & deposition of layer stacks such<br />

as thermal SiO 2<br />

, PSG, Si 3<br />

N 4<br />

, Al , TiN, W)<br />

- Epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)<br />

- Optical lithography (i-line and 248 nm down<br />

to 100 nm structure size)<br />

- Short-flow processing<br />

- Electrical characterization and testing.<br />

Failure Mode Analysis and Diagnostics<br />

Consultancy<br />

MAC protocol design & Gigabit WLAN systems<br />

Wireless sensor networks and applications<br />

Fault tolerant design for space and automotive<br />

<strong>IHP</strong> offers support for yield enhancement through failure<br />

mode analysis with state-of-the-art equipment,<br />

including AES, AFM, FIB, SEM, SIMS, ToFSIMS and TEM.<br />

For more information please contact:<br />

Dr. Wolfgang Kissinger (General contact)<br />

Dr. René Scholz (MPW & Prototyping contact)<br />

<strong>IHP</strong><br />

<strong>IHP</strong><br />

Im Technologiepark 25 Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany 15236 Frankfurt (Oder), Germany<br />

Email: kissinger@ihp-microelectronics.com<br />

Email : scholz@ihp-microelectronics.com<br />

Tel: +49 335 56 25 410 Tel : +49 335 56 25 647<br />

Fax: +49 335 56 25 222 Fax +49 335 56 25 327<br />

A n n u a l R e p o r t 2 0 1 2<br />

151


W e g b e s c h r e i b u n g z u m I H P – D i r E c t i o n s t o I H P<br />

Wegbeschreibung zum <strong>IHP</strong><br />

per Flugzeug<br />

- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis<br />

Bahnhof Berlin-Zoologischer Garten (19 Minuten);<br />

dann mit dem RegionalExpress RE 1 bis Frankfurt<br />

(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).<br />

- Vom Flughafen Berlin-Schönefeld mit dem Airport-<br />

Express oder der S-Bahnlinie S 9 bis Bahnhof Berlin-Ostbahnhof<br />

(19 bzw. 32 Minuten); dann mit dem<br />

RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde).<br />

per Bahn<br />

- Von den Berliner Bahnhöfen Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alexanderplatz<br />

oder Ostbahnhof mit dem RegionalExpress RE 1 bis<br />

Frankfurt ( Oder) Hauptbahnhof.<br />

per Auto<br />

- Über den Berliner Ring auf die Autobahn A 12 in Richtung<br />

Frankfurt (Oder) / Warschau; Abfahrt Frankfurt<br />

(Oder)-West, an der Ampel links in Richtung Beeskow<br />

und dem Wegweiser „Technologiepark Ostbrandenburg“<br />

folgen.<br />

per Straßenbahn in Frankfurt (Oder)<br />

- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3<br />

oder 4 in Richtung Markendorf Ort bis Haltestelle<br />

Technologiepark (14 Minuten).<br />

Directions to <strong>IHP</strong><br />

by plane<br />

- From Berlin-Tegel Airport take the bus X9 to the<br />

railway station Berlin-Zoologischer Garten (19 minutes);<br />

then take the RegionalExpress RE 1 to Frankfurt<br />

(Oder) Hauptbahnhof (appr. 1 hour 20 minutes).<br />

- From Berlin-Schönefeld Airport take the Airportexpress<br />

or the S-Bahn line S 9 to the railway<br />

station Berlin Ostbahnhof (19 resp. 32 minutes);<br />

then take the RegionalExpress RE 1 to<br />

Frankfurt (Oder) Hauptbahnhof (appr. 1 hour).<br />

by train<br />

- take the train RegionalExpress RE 1 from the<br />

Berlin railway stations Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alexanderplatz<br />

or Ostbahnhof to Frankfurt (Oder) Hauptbahnhof.<br />

by car<br />

- take the highway A 12 from Berlin in the direction<br />

Frankfurt (Oder) / Warschau (Warsaw); take<br />

exit Frankfurt (Oder)-West, at the traffic lights<br />

turn left in the direction Beeskow and follow<br />

the signs to “Technologiepark Ostbrandenburg”.<br />

by tram in Frankfurt (Oder)<br />

- take the Tram 3 or 4 from railway station Frankfurt<br />

(Oder) Hauptbahnhof in the direction Markendorf<br />

ort to Technologiepark (14 minutes).<br />

152 A n n u a l R e p o r t 2 0 1 2


B i l d e r k l ä r u n g e n – P h o t o d e s c r i p t i o n s<br />

Bilderklärungen / Photodescriptions<br />

S. 1: Annual Report <strong>2012</strong><br />

Blick auf das Institutsgebäude mit Pilotlinie (links).<br />

View on the institute building with pilot line (left).<br />

S. 4: Contents<br />

Konstituierende Sitzung des Wissenschaftlichen<br />

Beirates am 11. Juni <strong>2012</strong> im <strong>IHP</strong>.<br />

Constituent meeting of the Scientific Advisory Board<br />

at <strong>IHP</strong> on the 11 th of June <strong>2012</strong>.<br />

S. 8: <strong>IHP</strong>´s Research<br />

Layout eines Impulse-Radio Ultra-Breitband<br />

Transceivers for IEEE 802.15.4a Standard.<br />

Layout of an Impulse-Radio Ultra-Wideband<br />

Transceiver for IEEE 802.15.4a Standard.<br />

S. 18: Update <strong>2012</strong><br />

Ehrensymposium für den langjährigen Vorsitzenden<br />

des Wissenschaftlichen Beirates Prof. Dr. Hermann<br />

Grimmeiss (links).<br />

Symposium in honour of the long-standing Chair<br />

of the Scientific Advisory Board Prof. Hermann<br />

Grimmeiss (left).<br />

S. 30: Selected Projects<br />

Platine zum Test des 12-Bit DAC-Chip-Prototypen.<br />

Testboard for a 12 bit DAC prototype chip.<br />

S. 70: Joint Labs<br />

Informationstafel zu den Gemeinsamen Laboren<br />

des <strong>IHP</strong>.<br />

Information board to the Joint Labs of <strong>IHP</strong>.<br />

S. 83: Collaboration and Partners<br />

Workshop mit Partnern im <strong>IHP</strong> – hier: Projektabstimmung<br />

zum EU-Projekt FLEXWIN (Flexible<br />

Microsystem Technology for Micro- and Millimetre-<br />

Wave Antenna Arrays with Intelligent Pixels)<br />

European project meeting “Flexwin” at <strong>IHP</strong>.<br />

S. 86: Guest Scientists and Seminars<br />

Gastwissenschaftler und Humboldt-Preisträger<br />

Prof. Dr. Ya-Hong Xie (Mitte) mit Prof. Dr. Wolfgang<br />

Mehr (links) und Prof. Dr. Thomas Schröder (rechts)<br />

im <strong>IHP</strong> <strong>MB</strong>E-Labor.<br />

Guest scientist and awardee of the Humboldt Research<br />

Award Prof. Ya-Hong Xie (middle) with Prof. Wolfgang<br />

Mehr (left) and Prof. Thomas Schröder (right) in the<br />

<strong>MB</strong>E-laboratory of <strong>IHP</strong>.<br />

S. 144 Deliverables and Services<br />

Kontrolle eines in der Pilotlinie des <strong>IHP</strong> präparierten<br />

Wafers.<br />

Checking of a wafer prepared in the pilot line of <strong>IHP</strong>.<br />

A n n u a l R e p o r t 2 0 1 2<br />

153


i m p r e s s u m – i m p r i n t<br />

Herausgeber / Publisher<br />

<strong>IHP</strong> GmbH – Innovations for High Performance<br />

<strong>Microelectronics</strong>/Leibniz-Institut für innovative<br />

Mikroelektronik<br />

Postadresse / Postbox<br />

Postfach 1466 / Postbox 1466<br />

15204 Frankfurt (Oder)<br />

Deutschland / Germany<br />

Besucheradresse / Address for Visitors<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder)<br />

Deutschland / Germany<br />

Telefon / Fon +49 335 56 25 0<br />

Telefax / Fax +49 335 56 25 300<br />

Redaktion / Editors<br />

Dr. Wolfgang Kissinger / Heidrun Förster<br />

Gesamtherstellung / Production in design and layout<br />

GIRAFFE Werbeagentur<br />

Leipziger Straße 187<br />

15232 Frankfurt (Oder)<br />

Telefon / Fon +49 335 50 46 46<br />

Telefax / Fax +49 335 50 46 45<br />

E-Mail kontakt@giraffe.de<br />

Internet www.giraffe.de<br />

Bildnachweise / Photocredits<br />

Agentur GIRAFFE, Fotolia.de, Winfried Mausolf,<br />

<strong>IHP</strong>, Rainer Weisflog<br />

E-Mail<br />

Internet<br />

ihp@ihp-microelectronics.com<br />

www.ihp-microelectronics.com<br />

154 A n n u a l R e p o r t 2 0 1 2


<strong>IHP</strong> GmbH – Innovations<br />

for High Performance<br />

<strong>Microelectronics</strong> / Leibniz-Institut<br />

für innovative Mikroelektronik<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder)<br />

Germany<br />

Telefon +49 335 5625 0<br />

Fax +49 335 5625 300<br />

ihp@ihp-microelectronics.com<br />

www.ihp-microelectronics.com

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!