EE 410 / Saraswat Handout #3 - Stanford Nanofabrication Facility

EE 410 / Saraswat Handout #3 - Stanford Nanofabrication Facility EE 410 / Saraswat Handout #3 - Stanford Nanofabrication Facility

snf.stanford.edu
from snf.stanford.edu More from this publisher
19.08.2013 Views

EE410 / Saraswat Handout #3 Week 1: Preparation SECTION 5 EE410 CMOS PROCESS SCHEDULE 1. Wafer Start: Starting material is n-type silicon [STEP 00.000] • Standard piranha clean 2. Photomask #0: Zero level marks [STEPS 0.100-0.190] • Singe and prime (yes oven) • Resist coat (svgcoat/2, programs 9,7,1) • Expose (asml, with reticle 45023981A009, Job Name: EE410R_1) • Post exposure bake (svgcoat/2, programs 9,1) • Develop (svgdev/2, program 3,1) • Inspect/rework as needed • Postbake @110C, 30 min. 3. Silicon etch [STEPS 0.200-0.240] • Hand scribe wafer ID • Silicon Oxide etch (amtetcher, program 4 for 5 minutes) • Standard Hard Resist Strip (gasonics, program 013, wbnonmetal, 10 min piranha) 4. Blanket Implant [STEPS 0.300-0.360] • Standard pre-diffusion clean (wbdiff) • Implant: 100 keV, P31, 1.75 X 10 12 cm -2 5. Field Oxidation [STEPS 0.360-0.380] • Standard pre-diffusion clean (wbdiff) • Field Oxidation (tylan1/2: Ramped process 10’ dry/100’ steam/10’ dry @ 1000°C, ≈ 5000Å • Inspection/thickness measurement Week 1: Lab Section 6. Photomask #1: Active Area [STEPS 1.000-1.190] • Singe and prime (yes oven) • Resist coat (svgcoat/2, programs 9,7,1) • Expose (asml, with reticle EE410RET1, Job Name: EE410R_1) • Post exposure bake (svgcoat/2, programs 9,1) • Develop (svgdev/2, program 3,1) • Inspect/rework as needed Revised Jan. 11, 2008 Page 30/47

EE410 / Saraswat Handout #3 • Postbake @110C, 30 min. 7. Active Area Etch (FOX definition) [STEPS 1.200-1.240] • Descum (drytek2, 30 sec) • SiO2 etch in 6:1 buffered HF (wbnonmetal) Week 2: Preparation 8. Sacrificial Ox [STEPS 1.250-1.340] • Standard Hard Resist Strip (gasonics, program 013, wbnonmetal, 10 min piranha) • Standard pre-diffusion clean (wbdiff) • Sacrificial Oxidation (tylan1/2: Ramped process 5’ dry/12’ steam/5’ dry 900°C, ≈ 400Å • Inspection/Thickness measurement 9. Photomask #2: P-Well [STEPS 2.000-2.190] • Singe and prime (yes oven) • Resist coat (svgcoat/2, programs 9,7,1) • Expose (asml, with reticle EE410RET1, Job Name: EE410R_1) • Post exposure bake (svgcoat/2, programs 9,1) • Develop (svgdev/2, program 3,1) • Inspect/rework as needed • Postbake @110C, 30 min. 10. P-Well Double Implant: [STEP 2.200] • 180 keV, B11, 5.0 ⋅ 1012 cm-2 and 50 keV, B11, 1.4 ⋅ 10 12 cm -2 Week 2: Lab Section 11. P-well drive-in [STEPS 2.210-2.260] • Standard Hard Resist Strip (gasonics, program 013, wbnonmetal, 10 min piranha) • Standard pre-diffusion clean (wbdiff) • P-Well Drive-In: (tylan1/2) 60’ N2 @ 1000°C Week 3: Preparation 12. Gate Oxidation/Poly Deposition [STEPS 2.300-2.420] • Standard pre-diffusion clean (wbdiff) • Sacrificial oxide strip (wbdiff) • Gate Oxidation: 400Å • Polysilicon Deposition: LPCVD @ 560°C, ≈ 5000Å • Inspection/polysilicon thickness measurements Revised Jan. 11, 2008 Page 31/47

<strong>EE</strong><strong>410</strong> / <strong>Saraswat</strong> <strong>Handout</strong> <strong>#3</strong><br />

• Postbake @110C, 30 min.<br />

7. Active Area Etch (FOX definition) [STEPS 1.200-1.240]<br />

• Descum (drytek2, 30 sec)<br />

• SiO2 etch in 6:1 buffered HF (wbnonmetal)<br />

Week 2: Preparation<br />

8. Sacrificial Ox [STEPS 1.250-1.340]<br />

• Standard Hard Resist Strip (gasonics, program 013, wbnonmetal, 10 min<br />

piranha)<br />

• Standard pre-diffusion clean (wbdiff)<br />

• Sacrificial Oxidation (tylan1/2: Ramped process 5’ dry/12’ steam/5’ dry 900°C,<br />

≈ 400Å<br />

• Inspection/Thickness measurement<br />

9. Photomask #2: P-Well [STEPS 2.000-2.190]<br />

• Singe and prime (yes oven)<br />

• Resist coat (svgcoat/2, programs 9,7,1)<br />

• Expose (asml, with reticle <strong>EE</strong><strong>410</strong>RET1, Job Name: <strong>EE</strong><strong>410</strong>R_1)<br />

• Post exposure bake (svgcoat/2, programs 9,1)<br />

• Develop (svgdev/2, program 3,1)<br />

• Inspect/rework as needed<br />

• Postbake @110C, 30 min.<br />

10. P-Well Double Implant: [STEP 2.200]<br />

• 180 keV, B11, 5.0 ⋅ 1012 cm-2 and 50 keV, B11, 1.4 ⋅ 10 12 cm -2<br />

Week 2: Lab Section<br />

11. P-well drive-in [STEPS 2.210-2.260]<br />

• Standard Hard Resist Strip (gasonics, program 013, wbnonmetal, 10 min<br />

piranha)<br />

• Standard pre-diffusion clean (wbdiff)<br />

• P-Well Drive-In: (tylan1/2) 60’ N2 @ 1000°C<br />

Week 3: Preparation<br />

12. Gate Oxidation/Poly Deposition [STEPS 2.300-2.420]<br />

• Standard pre-diffusion clean (wbdiff)<br />

• Sacrificial oxide strip (wbdiff)<br />

• Gate Oxidation: 400Å<br />

• Polysilicon Deposition: LPCVD @ 560°C, ≈ 5000Å<br />

• Inspection/polysilicon thickness measurements<br />

Revised Jan. 11, 2008 Page 31/47

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!