14.08.2013 Views

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

BUFG<br />

Primitive: Global Clock Buffer<br />

Supported Architectures<br />

This design element is supported in the following architectures only:<br />

• XC9500XL<br />

• CoolRunner XPLA3<br />

• CoolRunner-II<br />

Introduction<br />

About Design Elements<br />

This design element is a high-fanout buffer that connects signals to the global routing resources for low skew<br />

distribution of the signal. BUFGs are typically used on clock nets as well other high fanout nets like sets/resets<br />

and clock enables.<br />

Design Entry Method<br />

Instantiation Yes<br />

Inference Recommended<br />

Coregen and wizards No<br />

Macro support No<br />

This design element can be used in schematics.<br />

VHDL Instantiation Template<br />

Unless they already exist, copy the following two statements and paste them before the entity declaration.<br />

Library UNISIM;<br />

use UNISIM.vcomponents.all;<br />

-- BUFG: Global Clock Buffer (source by an internal signal)<br />

-- All Devices<br />

-- <strong>Xilinx</strong> HDL <strong>Libraries</strong> <strong>Guide</strong>, version 10.1.1<br />

BUFG_inst : BUFG<br />

port map (<br />

O => O, -- Clock buffer output<br />

I => I -- Clock buffer input<br />

);<br />

-- End of BUFG_inst instantiation<br />

Verilog Instantiation Template<br />

// BUFG: Global Clock Buffer (source by an internal signal)<br />

// All FPGAs<br />

// <strong>Xilinx</strong> HDL <strong>Libraries</strong> <strong>Guide</strong>, version 10.1.1<br />

<strong>CPLD</strong> <strong>Libraries</strong> <strong>Guide</strong><br />

76 www.xilinx.com ISE 10.1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!