14.08.2013 Views

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

IBUF8<br />

Macro: 8-Bit Input Buffer<br />

Supported Architectures<br />

This design element is supported in the following architectures only:<br />

• XC9500XL<br />

• CoolRunner XPLA3<br />

• CoolRunner-II<br />

Introduction<br />

About Design Elements<br />

Input Buffers isolate the internal circuit from the signals coming into the chip. This design element is contained<br />

in input/output blocks (IOBs) and allows the specification of the particular I/O Standard to configure the I/O. In<br />

general, an this element should be used for all single-ended data input or bidirectional pins.<br />

Design Entry Method<br />

Instantiation Yes<br />

Inference Recommended<br />

Coregen and wizards No<br />

Macro support No<br />

This design element can be used in schematics.<br />

In general, this element is inferred by the synthesis tool for any specified top-level input port to the design. It is<br />

generally not necessary to specify them in the source code however if desired, they be manually instantiated by<br />

either copying the instantiation code from the ISE Libaries <strong>Guide</strong> HDL Template and paste it into the top-level<br />

entity/module of your code. It is recommended to always put all I/O components on the top-level of the design to<br />

help facilitate hierarchical design methods. Connect the I port directly to the top-level input port of the design<br />

and the O port to the logic in which this input is to source. Specify the desired generic/defparam values in<br />

order to configure the proper behavior of the buffer.<br />

Available Attributes<br />

Attribute Type Allowed Values Default Description<br />

IOSTANDARD String See Note Below DEFAULT Sets the programmable I/O<br />

standard for the input.<br />

IBUF_DELAY<br />

_VALUE<br />

IFD_DELAY<br />

_VALUE<br />

Binary 0 thru 12 0 Specifies the amount of<br />

additional delay to add to<br />

the non-registered path out of<br />

the IOB<br />

Binary AUTO, 0 thru 6 AUTO Specifies the amount of<br />

additional delay to add to<br />

the registered path within the<br />

IOB<br />

<strong>CPLD</strong> <strong>Libraries</strong> <strong>Guide</strong><br />

416 www.xilinx.com ISE 10.1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!