14.08.2013 Views

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

About Design Elements<br />

FDRS<br />

Macro: D Flip-Flop with Synchronous Reset and Set<br />

Supported Architectures<br />

This design element is supported in the following architectures only:<br />

• XC9500XL<br />

• CoolRunner XPLA3<br />

• CoolRunner-II<br />

Introduction<br />

FDRS is a single D-type flip-flop with data (D), synchronous set (S), and synchronous reset (R) inputs and data<br />

output (Q). The synchronous reset (R) input, when High, overrides all other inputs and resets the (Q) output Low<br />

during the Low-to-High clock (C) transition. (Reset has precedence over Set.) When S is High and R is Low, the<br />

flip-flop is set, output High, during the Low-to-High clock transition. When R and S are Low, data on the (D)<br />

input is loaded into the flip-flop during the Low-to-High clock transition.<br />

This flip-flop is asynchronously cleared, outputs Low, when power is applied. For <strong>CPLD</strong> devices, you can<br />

simulate power-on by applying a High-level pulse on the PRLD global net.<br />

Logic Table<br />

Inputs Outputs<br />

R S D C Q<br />

1 X X fl 0<br />

0 1 X fl 1<br />

0 0 D fl D<br />

Design Entry Method<br />

This design element is only for use in schematics.<br />

Available Attributes<br />

Attribute Type Allowed Values Default Description<br />

INIT 1-Bit Binary 0 or 1 0 Sets the initial value of Q output after configuration.<br />

For More Information<br />

• See the appropriate <strong>CPLD</strong> User <strong>Guide</strong>.<br />

<strong>CPLD</strong> <strong>Libraries</strong> <strong>Guide</strong><br />

ISE 10.1 www.xilinx.com 359

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!