14.08.2013 Views

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

About Design Elements<br />

• For twos-complement operation, ACC4 represents numbers between -8 and +7, inclusive. If an addition<br />

or subtraction operation result exceeds this range, the OFL output goes High. The overflow (OFL) is not<br />

registered synchronously with the data outputs. OFL always reflects the accumulation of the B inputs (B3 –<br />

B0 for ACC4) and the contents of the register, which allows cascading of ACC4s by connecting OFL of one<br />

stage to CI of the next stage.<br />

Ignore CO in twos-complement operation.<br />

The synchronous reset (R) has priority over all other inputs, and when set to High, causes all outputs to go to<br />

logic level zero during the Low-to-High clock (C) transition. Clock (C) transitions are ignored when clock<br />

enable (CE) is Low.<br />

This design element is asynchronously cleared, outputs Low, when power is applied. For <strong>CPLD</strong> devices, you can<br />

simulate power-on by applying a High-level pulse on the PRLD global net.<br />

Logic Table<br />

Input Output<br />

R L CE ADD D C Q<br />

1 x x x x Rising 0<br />

0 1 x x Dn Rising Dn<br />

0 0 1 1 x Rising Q0+Bn+CI<br />

0 0 1 0 x Rising Q0-Bn-CI<br />

0 0 0 x x Rising No Change<br />

Q0: Previous value of Q<br />

Bn: Value of Data input B<br />

CI: Value of input CI<br />

Design Entry Method<br />

This design element is only for use in schematics.<br />

For More Information<br />

• See the appropriate <strong>CPLD</strong> User <strong>Guide</strong>.<br />

• See the appropriate <strong>CPLD</strong> Data Sheets.<br />

<strong>CPLD</strong> <strong>Libraries</strong> <strong>Guide</strong><br />

ISE 10.1 www.xilinx.com 25

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!