14.08.2013 Views

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

Xilinx CPLD Libraries Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

About Design Elements<br />

CLK_DIV2SD<br />

Primitive: Global Clock Divide by 2 with Start Delay<br />

Supported Architectures<br />

This design element is supported in the following architectures only:<br />

CoolRunner-II<br />

Introduction<br />

This design element divides a user-provided external clock signal gclk by 2.<br />

Only one clock divider may be used per design. The global clock divider is available on the XC2C128, XC2C256,<br />

XC2C384, and XC2C512 CoolRunner-IIdevices, but not the XC2C32 or XC2C64. The CLKIN input can only<br />

be connected to the device gclk pin. The duty cycle of the CLKDV output is 50-50. The CLKDV output can<br />

only connect to clock inputs of synchronous elements. It cannot be used as combinatorial logic, and should<br />

not be routed directly to an output pin.<br />

The start delay function delays the start of the CLKDV output by (n + 1) clocks, where n is the divisor for<br />

the clock divider.<br />

The CLKDV output is reset low by power-on reset circuitry.<br />

Design Entry Method<br />

Instantiation Recommended<br />

Inference No<br />

Coregen and wizards No<br />

Macro support No<br />

This design element can be used in schematics.<br />

VHDL Instantiation Template<br />

Unless they already exist, copy the following two statements and paste them before the entity declaration.<br />

Library UNISIM;<br />

use UNISIM.vcomponents.all;<br />

-- CLK_DIV2SD: Clock Divide by 2 with Start Delay<br />

-- CoolRunner-II<br />

-- <strong>Xilinx</strong> HDL Language Template, version 10.1<br />

CLK_DIV2SD_inst : CLK_DIV2SD<br />

-- Edit the following generic to specify the number of clock cycles<br />

-- to delay before starting.<br />

generic map (<br />

DIVIDER_DELAY => 1)<br />

port map (<br />

CLKDV => CLKDV, -- Divided clock output<br />

CLKIN => CLKIN -- Clock input<br />

);<br />

-- End of CLK_DIV2SD_inst instantiation<br />

<strong>CPLD</strong> <strong>Libraries</strong> <strong>Guide</strong><br />

ISE 10.1 www.xilinx.com 261

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!