02.08.2013 Views

Audio Codec '97 Revision 2.1

Audio Codec '97 Revision 2.1

Audio Codec '97 Revision 2.1

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

AC ‘97 Component Specification <strong>Revision</strong> <strong>2.1</strong><br />

corresponding 12 time slots are assigned to input data streams, and that they contain valid data. The following<br />

diagram illustrates the time slot-based AC-link protocol.<br />

SYNC<br />

BIT_CLK<br />

SDATA_IN<br />

End of previous<br />

<strong>Audio</strong> Frame<br />

<strong>Codec</strong><br />

Ready<br />

12.288 MHz<br />

Tag Phase Data Phase<br />

20.8uS<br />

(48 KHz)<br />

81.4 nS<br />

slot(1) slot(2) slot(12) "0" "0" "0"<br />

Time Slot "Valid"<br />

Bits<br />

("1" = time slot contains valid PCM data)<br />

19<br />

32<br />

0 19 0 19 0 19 0<br />

Slot 1 Slot 2<br />

Figure 13. AC-link <strong>Audio</strong> Input Frame<br />

Slot 3 Slot 12<br />

A new audio input frame begins with a low to high transition of SYNC. SYNC is synchronous to the rising edge of<br />

BIT_CLK. On the immediately following falling edge of BIT_CLK, AC ‘97 samples the assertion of SYNC. This<br />

falling edge marks the time when both sides of AC-link are aware of the start of a new audio frame. On the next<br />

rising of BIT_CLK, AC ‘97 transitions SDATA_IN into the first bit position of slot 0 (“<strong>Codec</strong> Ready” bit). Each<br />

new bit position is presented to AC-link on a rising edge of BIT_CLK, and subsequently sampled by the AC ’97<br />

Controller on the following falling edge of BIT_CLK. This sequence ensures that data transitions and subsequent<br />

sample points for both incoming and outgoing data streams are time aligned.<br />

SYNC<br />

BIT_CLK<br />

<strong>Codec</strong><br />

SDATA_IN slot(1) slot(2)<br />

Ready<br />

End of previous<br />

<strong>Audio</strong> Frame<br />

AC <strong>'97</strong> samples SYNC assertion here<br />

AC <strong>'97</strong> Controller samples first SDATA_IN bit of frame here<br />

Figure 14. Start of an <strong>Audio</strong> Input Frame<br />

SDATA_IN’s composite stream is MSB justified (MSB first) with all non-valid bit positions (for assigned and/or<br />

unassigned time slots) stuffed with 0’s by AC ‘97. SDATA_IN data is sampled on the falling edges of BIT_CLK .<br />

5.1.2.2 Slot 1: Status Address Port<br />

The status port is used to monitor status for AC ‘97 functions including, but not limited to, mixer settings and power<br />

management (refer to section 6.3 of this specification).<br />

<strong>Audio</strong> input frame slot 1’s stream echoes the control register index, for historical reference, for the data to be<br />

returned in slot 2. (Assuming that slots 1 and 2 had been tagged “valid” by AC ‘97 during slot 0.)<br />

AC ’97 controller to probe more deeply into the AC ‘97 register file to determine which AC ‘97 subsections are<br />

actually ready (refer to section 6.3 for more information).<br />

May 22, 1998

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!