05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

VHDL Code<br />

HDL Coding Techniques<br />

Following is the VHDL code for an 8-bit shift-left register with a<br />

positive-edge clock, synchronous set, serial in, and serial out.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity shift is<br />

port(C, SI, S : in std_logic;<br />

SO : out std_logic);<br />

end shift;<br />

architecture archi of shift is<br />

signal tmp: std_logic_vector(7 downto 0);<br />

begin<br />

process (C, S)<br />

begin<br />

if (C'event and C='1') then<br />

if (S='1') then<br />

tmp '1');<br />

else<br />

tmp

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!