05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Tristates<br />

Log File<br />

HDL Coding Techniques<br />

Tristate elements can be described using the following:<br />

• Combinatorial process (VHDL) and always block (Verilog)<br />

• Concurrent assignment<br />

The XST log reports the type and size of recognized tristates during<br />

the macro recognition step.<br />

...<br />

Synthesizing Unit .<br />

Related source file is tristates_1.vhd.<br />

Found 1-bit tristate buffer for signal .<br />

Summary:<br />

inferred 1 Tristate(s).<br />

Unit synthesized.<br />

=============================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# Tristates : 1<br />

1-bit tristate buffer : 1<br />

=============================<br />

...<br />

Related Constraints<br />

There are no related constraints available.<br />

XST <strong>User</strong> <strong>Guide</strong> 2-31

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!