05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity latch is<br />

port(D : in std_logic_vector(3 downto 0);<br />

G, PRE : in std_logic;<br />

Q : out std_logic_vector(3 downto 0));<br />

end latch;<br />

architecture archi of latch is<br />

begin<br />

process (PRE, G)<br />

begin<br />

if (PRE='1') then<br />

Q

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!