05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

HDL Coding Techniques<br />

4-bit Latch with Inverted Gate and Asynchronous<br />

Preset<br />

The following figure shows a 4-bit latch with inverted gate and<br />

asynchronous preset.<br />

PRE<br />

D<br />

G<br />

LDP_1<br />

The following table shows pin definitions for a latch with inverted<br />

gate and asynchronous preset.<br />

IO Pins Description<br />

D[3:0] Data Input<br />

G Inverted Gate<br />

PRE Asynchronous Preset (active High)<br />

Q[3:0] Data Output<br />

VHDL Code<br />

Q<br />

X8376<br />

Following is the equivalent VHDL code for a 4-bit latch with an<br />

inverted gate and asynchronous preset.<br />

XST <strong>User</strong> <strong>Guide</strong> 2-29

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!