05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

VHDL Code<br />

Following is the equivalent VHDL code for a latch with a positive<br />

gate and asynchronous clear.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity latch is<br />

port(G, D, CLR : in std_logic;<br />

Q : out std_logic);<br />

end latch;<br />

architecture archi of latch is<br />

begin<br />

process (CLR, D, G)<br />

begin<br />

if (CLR='1') then<br />

Q

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!