05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

Latch with Positive Gate<br />

The following figure shows a latch with positive gate.<br />

D<br />

G<br />

LD<br />

X3740<br />

Q<br />

The following table shows pin definitions for a latch with positive<br />

gate.<br />

IO Pins Description<br />

D Data Input<br />

G Positive Gate<br />

Q Data Output<br />

VHDL Code<br />

Following is the equivalent VHDL code for a latch with a positive<br />

gate.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity latch is<br />

port(G, D : in std_logic;<br />

Q : out std_logic);<br />

end latch;<br />

architecture archi of latch is<br />

begin<br />

process (G, D)<br />

begin<br />

if (G='1') then<br />

Q

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!